From f6abf07e7ab1a44534590b3931e412a6efdb3d8d Mon Sep 17 00:00:00 2001 From: Vladimir Garistov Date: Wed, 9 Nov 2022 16:06:10 +0200 Subject: [PATCH] Added tinkercad links and comments; formatting --- .../Analog_Serial_Send_-_LDR.ino | 10 +- .../tinkercad_link.txt | 1 + Examples/UART/UART-Send/UART-Send.ino | 28 ++--- Examples/UART/UART-Send/UART-Send.png | Bin 0 -> 24472 bytes Examples/UART/UART-Send/tinkercad_link.txt | 1 + .../pin_change_demo/pin_change_demo.ino | 33 +++--- .../DC_motor_and_servo/DC_motor_and_servo.ino | 4 +- .../DC_motor_speed_control.ino | 2 +- .../stepper_demo_delay/stepper_demo_delay.ino | 76 ++++++------ .../stepper_demo_timer/stepper_demo_timer.ino | 108 +++++++++--------- .../Timer_1_COMPA_Toggle_pin_13_at_1Hz.ino | 95 ++++++++------- .../tinkercad_link.txt | 1 + .../Timer_1_COMPA_and_OVF_10kHz_PWM.ino | 106 +++++++++-------- .../Timer_1_CompMatchA_Interrupt.ino | 79 ++++++------- .../Timer_1_CompMatchA_Interrupt.png | Bin 0 -> 24472 bytes .../tinkercad_link.txt | 1 + ...link_pin_9_at_1Hz_with_external_enable.ino | 85 +++++++------- .../Timer_DIP_switch_frequency.ino | 82 ++++++------- .../Timer_PWM_10kHz_D25.ino | 35 +++--- .../Timer_PWM_10kHz_D25.png | Bin 0 -> 39998 bytes .../Timer_PWM_10kHz_D25/tinkercad_link.txt | 1 + .../Timer_PWM_10kHz_D50.ino | 35 +++--- .../Timer_PWM_10kHz_D50.png | Bin 0 -> 39998 bytes .../Timer_PWM_10kHz_D50/tinkercad_link.txt | 1 + .../Timer_PWM_2Hz_D25/Timer_PWM_2Hz_D25.ino | 35 +++--- .../Timer_PWM_2Hz_D25/Timer_PWM_2Hz_D25.png | Bin 0 -> 39998 bytes .../Timer_PWM_2Hz_D25/tinkercad_link.txt | 1 + .../Timer_PWM_2Hz_D80/Timer_PWM_2Hz_D80.ino | 35 +++--- .../Timer_PWM_2Hz_D80/Timer_PWM_2Hz_D80.png | Bin 0 -> 39998 bytes .../Timer_PWM_2Hz_D80/tinkercad_link.txt | 1 + 30 files changed, 451 insertions(+), 405 deletions(-) create mode 100644 Examples/UART/Analog_Serial_Send_-_LDR/tinkercad_link.txt create mode 100644 Examples/UART/UART-Send/UART-Send.png create mode 100644 Examples/UART/UART-Send/tinkercad_link.txt create mode 100644 Examples/timer/Timer_1_COMPA_Toggle_pin_13_at_1Hz/tinkercad_link.txt create mode 100644 Examples/timer/Timer_1_CompMatchA_Interrupt/Timer_1_CompMatchA_Interrupt.png create mode 100644 Examples/timer/Timer_1_CompMatchA_Interrupt/tinkercad_link.txt create mode 100644 Examples/timer/Timer_PWM_10kHz_D25/Timer_PWM_10kHz_D25.png create mode 100644 Examples/timer/Timer_PWM_10kHz_D25/tinkercad_link.txt create mode 100644 Examples/timer/Timer_PWM_10kHz_D50/Timer_PWM_10kHz_D50.png create mode 100644 Examples/timer/Timer_PWM_10kHz_D50/tinkercad_link.txt create mode 100644 Examples/timer/Timer_PWM_2Hz_D25/Timer_PWM_2Hz_D25.png create mode 100644 Examples/timer/Timer_PWM_2Hz_D25/tinkercad_link.txt create mode 100644 Examples/timer/Timer_PWM_2Hz_D80/Timer_PWM_2Hz_D80.png create mode 100644 Examples/timer/Timer_PWM_2Hz_D80/tinkercad_link.txt diff --git a/Examples/UART/Analog_Serial_Send_-_LDR/Analog_Serial_Send_-_LDR.ino b/Examples/UART/Analog_Serial_Send_-_LDR/Analog_Serial_Send_-_LDR.ino index 6cb7a7b..9459d13 100644 --- a/Examples/UART/Analog_Serial_Send_-_LDR/Analog_Serial_Send_-_LDR.ino +++ b/Examples/UART/Analog_Serial_Send_-_LDR/Analog_Serial_Send_-_LDR.ino @@ -4,13 +4,13 @@ uint16_t value = 0; void setup() { - pinMode(A0, INPUT); - Serial.begin(9600); + pinMode(LDR, INPUT); + Serial.begin(38400, SERIAL_8E2); } void loop() { - value = analogRead(LDR); - Serial.println(value); - delay(100); + value = analogRead(LDR); + Serial.println(value); + delay(100); } diff --git a/Examples/UART/Analog_Serial_Send_-_LDR/tinkercad_link.txt b/Examples/UART/Analog_Serial_Send_-_LDR/tinkercad_link.txt new file mode 100644 index 0000000..5e00277 --- /dev/null +++ b/Examples/UART/Analog_Serial_Send_-_LDR/tinkercad_link.txt @@ -0,0 +1 @@ +https://www.tinkercad.com/things/iVX5kSRkIeb-analogserialsend-ldr \ No newline at end of file diff --git a/Examples/UART/UART-Send/UART-Send.ino b/Examples/UART/UART-Send/UART-Send.ino index 9470a17..c8564ed 100644 --- a/Examples/UART/UART-Send/UART-Send.ino +++ b/Examples/UART/UART-Send/UART-Send.ino @@ -5,20 +5,20 @@ * 0x0D => [CARRIAGE RETURN] */ -void setup() { - // put your setup code here, to run once: - Serial.begin(9600); +void setup() +{ + Serial.begin(9600); } -void loop() { - // put your main code here, to run repeatedly: - Serial.print('V'); // Sends 0x56 -// Serial.println('V'); // Sends 0x56 0x0D 0x0A -// Serial.write(0x56); // Sends 0x56 - - while(Serial.available() > 0) - { - Serial.read(); - } - delay(500); +void loop() +{ + Serial.print('V'); // Sends 0x56 +// Serial.println('V'); // Sends 0x56 0x0D 0x0A +// Serial.write(0x56); // Sends 0x56 + + while(Serial.available() > 0) + { + Serial.read(); + } + delay(500); } diff --git a/Examples/UART/UART-Send/UART-Send.png b/Examples/UART/UART-Send/UART-Send.png new file mode 100644 index 0000000000000000000000000000000000000000..bebc3db94703d6f0592a2be330152717d5f2e229 GIT binary patch literal 24472 zcmd43WmJ?=+crFk3W$h;fYMzO(h@^Sr*wnDfFLD}3@M@@(j^ijAt5CL(gUK>(g+MG zT|>jr@b1C;e!l1X^ZtHa%Qe@!a_@7W`>f+Q34g4qM0}m|f^5xP2vODR_(Y_MM`KT&m4= zgA5D>9D10mR~&C$|3+@&timb86H39!f@0xu;=ii;2v5UKw@{($w@;LSG|saR_0;hmqip z7@~qPmv9Ha_+y~B-=K%rkodTRTdgu!+%IJ2ZW|r$SB3@;jfXo3vt|I(1OHra{6F=Q z2kSJ#7N7TT3Ta2>f;r8+0f&8mm-vuV)==CmTM~I%-{(Bm2U9VN`&^RcP}K@+9NxaT z6d4?guxY%F2a(A!{#256A4_l3xF`VT8RR;vUZsIeeE@;H$KR3uk~7J1TM7u|$$0)M zP0Z;vnl;HiZGKc*UT&TgS8mZB9JWCMVep|l%#Y&^k!}mv2*rJwxGm#BgeWGbI8-c$ zQztH0Sp^^4APe`BRQkxoy2k69WYMkLIjIDZdGY%^Kdzxpm}?b93D)7RC?`szf)|q&Rxvk6Ur$)C}Pqp%_}{x8`U;8vVUVp zU>o$e&e9eF^i-0araHa;XDAXb{>Y?;BP+3q>dAHY@qjb?*HN@4TFs&8-ObwmW6vVa zT;p=v;44h--I7fkI<{UV7=OG5={#=FM8|!Li^&IJCX^1f`R(5&%-yX}rfYoHU$s=M zHRR?At4|6%pN>mWX>V^AavBwq?eFier&AWR{e6oEk(Q=j{;bFAJ|Z?&Rz*c6YZzv0 zTPwZ!YH471d7zJ7+Mo196S_ZC9i?Jo^3m=vCx^v%Df4a1sr$Q1DMEkEiOSo?>nNb7 z->YxG!$8vm(=@A$?ZCfrF`Ihzz{5E^BO4bbZ4n?T`rZS7X#p7^Hcn|SY#bE(DOJdx zR3q(SUnHk)ZZDaZr0mW@mplBfMyg08n^p!!KVarpc*T>Z%O(|ev8IO!33omU*t~o4 zGE`1h^|Q|AG_{leM{&nMd6Jor zDSO*D*B)=bJ=Z?|$nJ1^{uPN*&vrb!R<07=%hySKmb?cqU?h4{%gf6Zeur+_=!h06 zjdXEg+hZ05tlzgraIUW>+|r`sgHI)Y;MvKi78bhWD`3O2umpEZO2Aj`-*(IJ-9g0Y z@4k8Srp>EUvvh4};AVR8B-)fd4r8DW@cuL|29qDXSHNSk2E%ok1QkX z1xQhwjyqLHw%uu*9Q-HP^NsV z&m$ybQ$9<>?YwICTWGjjC%`KE6o4 zd}1Q`=Hjo8h)9+CUHkR%nt;Y0pvy9Hm(g`#QbW5vTcp9gB{Djc+Ul0?mw(C(b|yN9RhDRN>ED2-|zR%i|YGJTv&w6 zd#vBfK4!t}r2j;>Mzo}A00@;-LM4O`em(S+h3_(J6Fw!}XN%sf+_oz#FT+>0;^cUv zapT+7_B1i~r4SOSTd0MCybQPd^y-KVzipMiZI{MVFFCCJd`IH?{Ars7OUo~JzNS|C z!0|Ar`A&yU?qW%?K*f@`SIAr8#xF@LqqXgqBJYvLRwIe|n8_X+*btsm-!qK@2;{ z{oLpHYHeR1moZi(LA2jkmv61mfyfwp=S!W#zL0`ytMwhQa7#f0r z>zc_>&iJ%de6;pSK3ZY_hQdmIEYMlM%fPbyg2s;fDx8nTeX`jAvMX@za>uQtJkFtl z$J!_V65sz*|CNIUU1F(SsNCtjf|=J3Dki&;`08A870J=+=n&t~r`HzpjKAZAN` z562BXVGOYex{(wdwDR`ffIB7&8qcmGMK$m8h^*(`nQrGT$(-)v7cg-gwDyc?68O6_ z{UN&`51gW+qh&5`lH*N|Fyl?`!GBTpM;08vQEKHVMW(oQQnk;!T|H^|HA!*~)f4iJ z?XzNDL{<9jwLhn4Ozu?Z?&DvnlJHk8>>g1wI3^HNYRw;8`9xP3l9p{9pkNqAiV8R5 zrA!yu*AA|1E@havTTyw2VFk;}^|7{Eikf@o+!pOqR_0Y>Ht6F0E}&AK;NGbhyFD?* z`th6!5DXYc+q|2rHQL(qapMH%DEp8%_^L@rD408PR7qai@a&xM=^Z;$`Qu+w!{EEB zyKG#2srjudpPUOH^)LQsZR1eE!NDuaCaV zy23|#chHMdy?sidwGH!y2UPQki(QRE-(0_9feSf(WRQKs}Q zbxARs861ZVESp#1_y*Q%wC;s$_-^?`1I zd&EBa0#SiahX+uC>88n-^%$g-?r|FUp++ww zb4!NtpDF1rHmszR;yb(&IU8#FYSQ=fLa0K{AHPfJc!Jc1nOPTc!5Dia2>y~E0Bt^W z%+4z4;Gy&FBlyPvC*4x- zZPPJ4^cyL&myl|p8)4ulu;sBT!eubPY$c$8_w0>@*5!M3xyOZW0RN&_w;(32=M_|9 zR&yC0AGY`r8aQAv{oS%cA?$MTL9GlU(AHBnfhz;-BIexti)@K<=4vubN#`^ z2d0bnxWFc$IyzGt4Ujvk8Rovt5##kwypPd^4ZS?}U3A%%l}Th$6a6((3R+bjyy<=$ ztm4RLl<89bqb?I(txlubPM-qC7}ox%1v30%4(_mEAo|-(pvdtvC6Zbdao}*v`cl#$ z<2OlDQ%Vj0>#u&em;>&*Ay4cqD|KD9Xx zr=>Z8pk^R@*Huk5YH_@G4392G#G=*vmuM=SjD6{EQwVN6v}0wFU#cAcKCHB+rj_)G zxk)Fcn8>NEQmG8M75XkqtBxvgo>TKcxClA@Z z{G#ZkHPh46Fa5zs*J%NBIYejY5z&1Z7joGtVv0BnO33!8f5)XE%f7>}co=VV>LW_# z_}7LK%W!IFs?2e!x!J7y`S%wwrbBnPJcAoVEGc|@yaSF>uBB&RO7FgOp48ClT+>`>oMzA(*``zUgpmD zGHU}YTH#wKbscc8?$l0d_Cz2~3WI|7c?WzIuw2z$AoAoHzgNQ@V)|T> z_g~;qSAdk$mt7p=ZRdg??S!MMGNgay3YP<;MX;bRq6-G=ZI(3dZ;-#P$c{(eqrAb{ z$_`vAFvj+~wmS6U8zS9&j?&BI#$A1b?(>JMZBkIT*vYBDq&r`(uX{?JxQ7b+mYYfx zX$GFc93~F-zL2D|1IKwR;lQq>yCFjUr7xAUswmbW0&x%r7IOc@M)4E1b$QRg%Z;T< z;CrZ&ZlT?4%y4Gh*_gR1GCHC1-yXh$Hr+hR(>r!5Lwn3!} z&3%UkuZSq~gQ&uI)Fg;6`mC}sGgLYY4yf*0{rvR}evTofO4~?$4&j zwr*bpv2LD7FQEH1l?P}PhQ_1-PDRBXJn>9&7z13c9~;ff614ScazDj|#6j{IpHz~V z$k{m@-T4zr@p!?Zpnl8wN{-Tx$(J=YkwTKT}Swqj1vAAGguUtmU&i*$%C(Go1sjZm=tL6w3}5x8`Ht$k^L1T`LoR7!Kl^ z8atCo)P7U$k#PSB-u`=VT)ZJM z@x!jHrriQ8Fo>DBdMkTZ;>1PK+wav?52bcQov{1q-TxxLl}d5tl+t;oFFK>IE<(h| zFs;llpLV_Xbygg%&T1aj!EFMUl*oV#KoGZ7Q4=lX>#k0FmBPWNgD&DPh)e5X(Wg+L zz^Pap(itu6$OaWE1sC{kq6iRj^Oo3yf#!^XYVbTIzjf;fi@fF*2U3j|VMJN>6KwLGGzOQlh`dBLYHFB0E7_T&lND zsE?KLXv%p-kG?eBY*!XL^du8Vy#gzfZMqGy#2QtoJA91+;_dL`@s>=-jbnsLT*|Tb z1L56x#vV?c02U1ntF~4#amAn6)_VC4)46v38pi^`dkIj>D@Xn}gzD0qQ1RIXi&3gS zg?J&5aXcg?f@9|!KdQ`4=w}?w-IKjo;5>kOjc2&18|4(CJ9P}fobC0o;t@q#@oc~3 z+w96*hmYc+Y>Dc3;YByA+#?T>ty5V_UoiZ^H|A)}d-83|?l;@`mGt8YF*S98}U1A{oucCiCMjvuD= zp2AcQW>o&o&u#zFjejyyz#7~!82DnIXZNQ>cXuwy8aY*Q3BvIB-+sS~9@Fv6s4bn? z99^nD9wjz8-!n$LOfvZS8M#K?NV){Eilg*O+dZcJmpjP7Hrjbw+eQW!_dSTLwdi?9 z^f>GCgL+@u81i@r@IuO31`vmcmNz&pqANgFJ<npiIQTPlgmQjOTh z)^9PQZV<@k)r-+9$D-J)Xo!qe=5TD#A) z$K`&|cDqUd)GBtlX3>^C!}_a3HHq5Ari=REi8#}HJ;Ri|W=q(kX=WuR0k#G^=LUx3 zea6^WCb%gHg2V(QyJ}O)8kh9qRTmz_lkr|Z#^--zgvH=MwQFd&3ER)ij8}3f28f<+XNaxAXb!Z z7Bp_h*qA4Gh}-Z%f%Isv@%$|)LoHR_Fl+D%@mQ4b+n)Hd3M)synyD)sJ{_XJKI$T| z6q@xMO@Ftn!@+WCX;uJ5oyTjDMJpacO-{U~S0d_@Xnx{4ry2TWu|c54TJm5maxt7z zMv4LM+S1cl%_QPU!~k#F9%~H98>j-4V(z)!jKN?IzPH}asrUG1dK^Kt!H0$>a6ZS zfc*m$Ji*-T5y%ECq}_$~vZR4qM+ICz)hN1|DbjjeUA1)uN%_nV6uOWR#6g&sxWTZ$ z{mEGOpjm*JXF|vYFW0^Kfr(>6b^)ay4;4(yf2m#zP5Sf6y<7g4v3Bkt;{gWcSI#q# zL)cIb_iirT1sQJ~NE^Xe^!hvuoq>l14=3*m0!s_>sH?FiN?xwSz(j&^{Y;0#N5?72 zmA;0O0r@j3&0Gq?s7zM{o@yEt?%Usy5zpiM$OxATGr(<6kVxG6I`>j%a)j0Rd&1#p z%oq)@C?*2UN8khOi%WMocfM)!=&E0?E53<7CglZUeq~|FUe^n0%eaeEg_XJhbFZiG zY*zRx9%21%MIu|7j56QR1^Nz84LV2VN))Gj~lz8aDYoG+21h3fP4~6hm^ru+H9zC$Y8B*gqb^DMu&jHACnp` z$}q^!!=SLCQBr3n8;WbqnjrLkF)L$!KXLe8eMk4y-H~dLishTiXMu3?mH(WjX}$wv zp+5`5^bV`9&gkz#M=aX*K|2$-{i~_(u((9CKZ@qFz6h_z{bmDu`Y|zSZP=gTh|v zrf*cp;^3O;=SX?^U4w#i&WY_El)U`-1}aJ-u9TIDOL}T`Z@)^FdU+^1kew;3iT+#@ zTpC=he$;rn<-)|&U%3~dib$~EwNr3EisRl7XtTHlBuCjs2O~iy-v##l)j(B~;u~ay zgFLfyUYECmCikq!$g&~-G*OOJdRg*yPacavlDC-1(^*6SbBG*rKcyr+EXMdeC@65%aB~x| zfx)f_iG2I^4JMLWP+l&`YwzHY{dAE4H~CG1cEg8AF%YL_>DC5U0PnKc0eo(s8%PnJ zOz1F~vig!Ozn|32zplT89A2$vF1V?MmVm#E2{@jeiW|C)B>41rH`=Lzdw-w%&Mqwg zb^6q~+bqCWH4swJ5*1a|>#A6Baq%U9AGoSKd&W+D^QIh-0HFrQE0(Jb`Qg)Qe}8^1n&liD|wVL@noZ70E=(_1R zROrjMxcAQU#)#Z|#z)dWB7MerU{X(0C_?uGAMB%MZcuFpib2500=McbmykYHmpn8c$^(sub#-gGQ*2E=={4M5cMDOHtkhed7 z&IRM^2>P$Y(W_NNF4MQULDfZy+2f2!GnmEhO1W(`Ye5)_&KXTs7|#dit{WN-r;>9z z2l}cY9paPHGEYW09YKWd{QdhzA%^fza#GSYbOeATae}|a)Q_%~gC7vKe=eO1mVs&6 zQQs>-S6lfX0F}Re+v%Z4lMA#K8=!)0?byz9KSWY0r@lAc*BrieOf$nM`zY)Mk>xK~ zkJa+$H-gWW{${F<8k%s0LoNLkOe>xDLD&ugki$}h`KMRw^=0IevkPx zIQZDqlsZK{w>k%fN_U=kg_B*c7pqRL)ampp##F1~T7(@G7g)ae%I8WpwxIBC4wSM8 zGcuZDYKhyo~cW3OC)_CbF?IBMLr8V`#=Jx?zqw37auu!6BDY>IXRD2Ro^Xz1h%}tXW_}l z%6e4~A(yFq7oGax=_^XzT=iJ<^&gCIc2M6)N}_n~;1Ho^VrqIDEc~TJpIx2=duhuo zc8~s0>FJ%fBexxcl{JG6%&?qB-xa|bM2daEK2%xS!;Ju`WzhzAhX9_ zH8@3`oB0t+G>AqMq9Yi8RVr?YqPRfTjZKxk@0CC$AtBm(7D3xYp?vLm^q*;O>-lY$ z=4^QJaQf~T{kfOvvqdccV;nPa-hoO2>vVPqbS)HTI>ZOu)%32HM>zPED7|NIc)s)w zIQccpM%}Y#h){ilxVSi$b~>`3pnTK+E1XOr+p`D*5L-@R;Y1v^XT&BbIPlCroEv_A zh&W$nop$5~77h=_Cm-MLp>oO{wDmxZm2NYzOQg58 zS3TJi1II3IS|zbya59x1E*TkZxa_26#%4=UrZGlAi>&f*jr!Wz0fx-lKKVOEP>etI zp(~R{hNM`Im!N=v-%xTwLc&)hdkdHxCr}?GB`Yof`A%E5te?EPPQeKR2^5nhF6#Q^ zbeo)45eS!68*`V>GMxHfMpt8=2QURtrbSqRq?3rNJxAVUyNM!NFZ<&I9F^r|3Q_O@)8#Kgp&+Vr?niL$bW zvT3HZDV)yTy0HJ+Hheqg#L!*Er}FK7F3}j0)~}LJ{^(~76lV7JGSczvu=_Rr1taJD z$XfZ2n_%9U*<|@t?OqiYAPzhn3oR#2&{R)0N=(#tKC4u=lHY+UbRvvB)rt( zC4x7SWQZ^BrIS+@Y(fB>n{;rDE4dV5zbd9SVfDYTeBL|DJH#-S#-VmYX@sIp6MDLRs^*`!v++8(>- z6pkuK$r7C&txi?LxAvLwJpQ;vVb{%M$KF}y747{vUsT1mSvZ)&@}gA8B^&`V0<7}{FjPn(r7^UjA+LX$~GjAtNx(yC1I!gAp8roM`giwS-`u?ka09Ml}O{q7oi7F06w06Ha+oTR0o zOF0j+l+)L502=khZiNXHV*o@as^L&EQmqlwx>|4O_2Uh|nsP$@-(c8}>lRn70x6H| zakV~NO%CBm%Sgrn9s-rC=Rhzo>7hVNzam?uZA;}{vfe~VRdszuONE_ON*|sG_v<^| zXe+?cZ?G7jDCCZmXQA3q+vNF4V_-i()myZ%@=WmQBxvAXa|Gssr~(u&@N5UMtQB}f zrt#rH@R$Lc9?-(?#fQMvT?X`)*j&x@6@BNG!2%)A6}=Kk`i9NJ(cfZ5XhEvT!O>AF zgA>9LpT7QnIZ>BMobPTi$Btb44n_;$nk#t{*&0}x6VjV#T~L561esnx6}K5n^f_wy z9vlVJ(#>IM{lxmDs&=FTAres8Qp{^?vvJ(l7e=I3(MA_oit>qpQute6L3VZc{6*7S zYPUXtmDbkcAep7{8uzE!1qCWXCI@R(Q##hx)<$DH!^x||%{_WJJ_Zvj9MmB_TI!Aq zwuU#h4o@CKX(c_oiOcvmme+Q;j(-m^Bh{{i5lc2o94&bDZJ3l7j1@{YmH;)w)welH z1uQ!iERAP~?iZfqe-KjW`1Bg{Wu9hjyrI9?2|bMIN|z92gz?ca!%v)af6$_LU>ymZ zp#EKi!l&~I0y1PUh4GCKRD&AHr-cRE(njh?#pjNWHyljQz6nkqnk+Wn44(u+qT`S*_j2=Aer z-|_fSwxu;SDS)!Uzya6L&;Yd@2$FIPMmY=;T(-zb4AvXq7sqvqE(@gOBdZ|C8F#=r zp4?pYDt8C2-d0JlRi6Uu0VQn}dK*^kGcksuf8Vu{`^ZLOvX*jxu*Hw7puSHYQG zEVRMyC7as&yrqA9oTqPInr3Y7pIak`-Cc;{z;1CaBoAF_C<2A;@k-67?AizMD3IDX z2Z|Vwm>xGLLzA}J8#B^ZI|YnWzFu<|;k|2#BWSonH{0raV)tIGmZ&md_LAGLbtZmR683L_W z-m_*M#6#aa6ALiD7FcePbnv584HShtI-t4VMK@gZ`=+u7;htIdCe}>IBT3zW;J3B>PpimyXh+XL`KxsDF@i)w7R_ zLfr8}T4FceVsP-TyT^L~iRNb&MAT*MrCL(L_(Xhs%sJQ2W)z-_8mM3kI!dQLkNYJW znj>Al{C?SX#@kpHl~>`YRQ zz@_&1=y*e{(Y9=GW$4(uR|tsqC#NkFOYGLLuecGsJ94riK-?Nx-8bYO`93`v)p4zS z?|SNwwMy}aDb~6*at@$|os$vQ!W5V>P@maGC;hJVi0clnq9lv8BB;FD+3b!#-u;XG z-4ZQtVDh_nmf_vA{RatlLQfOPD{bC33d2)UQk+%D!H&uD%>DdX8zjZ7tgPA~pilj! zQq0$9!zU(Y{1}Vl=(SYX53nFUT>`ee`Em@@1vrf5_b4+VRj?kKlpKTK9!Gzdg3qD~ z1mXp~2~U}2%nV4IV~aL9$`2r#3z65jOKc^^bFAUcIQj}=$-v392|K0j>hXEmRMRu zDB68$Oy|vzz>y7|c)Q8(Kts7YU>lZ==dxX0ls}NPogm_zknb^sxeOK6Y0G%Yg^c(@ zGsT-m73*U6~m0hFi>);oX)tO+HFhlfW@vsEx=3)BbG>K`z+gAyH3 zh9d0kCg#Q#Q|yQyhcsu13b|UwU!pr|y-(&tk@nCY)jhunG4U3ZHz&GU{YJ|8)_Du5 z#DS;MO1;@FvB@JC54p4DBQQ>-cI>m|fgZyF5I*=>Pzw)KXXw#NsdpwpsV@>Nd=@y! zN^s>7PEO7&k~4(}iax;}ZqHdj@gn6;&B)+2ngX+8gx3>H`6z7QYISyaNN-Bu`Je{) zVjIQ6z=LQC-<*m^**)ZqwCUSE{z1x!3u=B3yJ-vIAh~pfF(czQz3>@&gnqum)@WXI zAT(sHy!EK5qkQ-<5FjCQD|DrT?y_@6^-tMtil>-s{00@UlFN0ITD0lOm$Ql|uUdynlk8nm@k@$9hAKv#UVge4OYii2AlOBxG@0T|L+0~ zSR+wqLMrZl02TJ_>@%5R_TbK0c%wFFwMW$QQ^;E`F|YM;*1F#RkRie54mx0) zhVgwQ@VI{WqR`Py0zkL#KDZt9ziz@&zAw(yFD)&7YLb<>7&RWlOOHzT}^4}Oo{Ph(lDLB5=lFPuX#!&d=|PYVeLj5H+vs|09GqqN&)bc8<$J-9fQ zo4bVUmq)|mPWma(^d1lv@fc`|agEz&%*hFE2QBe!?>c>7mZ?JVs% z0S@wcZOprOKuemZ?l-7R^Wn-R5E)Dm(1Y#eJVXbt5Cq8%Rtbl4(=x!de}5EqY2y_J zC$IdklK?-koBk2{uWFAg8v~#QAG{*u{lwCh3t52^S3$}8YiWg^SIwmus8-3|UhL25 zPh(XsAq@HU&tp#k16}z>Oe8h4yzl8G$l2|?K8ikkE$|8vaAaR4Ah)+^NjYlJQcjy` zzXJFH*9N0#(48?T>HPf;eNdrbj&GM-U!qR6hu3todp_&#YmT4{nbCWcJ$3YmF>va3 zhmTV#1~B+#tiaUXZ|?Awgsrz%;lQ9ZLIpDDRi%8ovsF3W-i$SZb~B~L&q1TH%-f*U z7E)rdnmuZQ;&z;T`F+E-f!^`-6<}W6y;JJ1clWUCjb(fCzmYS*`G4WWaEzsO)-HZg z=6`&%s&e;8yi@=fwegPpq2e8`pMj?)Q=X;6P*!_O`vSuh2mj%T4xfe+ldA5uy@ds$ z+xN&UeX#tu4_iymHJqH*2K)QP*B$wW`zk00r49>yDgO)&U2C@dQ+F~%PkMjHZsGVd zQX2FXgkQp8U+#mRHxE!X07_Fa7<=+PoXlX10f(y{cin?Peq6v~?#i(^+M|~q_2ncK z?VoFCm*1dqK@$hzeR%W*lmf{;h6e8&@7@&lY75Z41_^o*;%Eku`F%SKcdeqdq^ooZ zkUQf~-`s#eVj(zYn7rZBh!^pJ4FW6AeUT+hOkryQxj=hx-I8kjnRuM=r$x3<3h-$A^`^ zGT11}J#ryNcA9cv#C_i^xcrKBg~(IkV88`s5A^X1%i>faPcN^2??^-WVO|J>z;#9qZIvluC$t0oV-W`PT`h9zc^6PjLi=`=T$2A@`q*}r%Z<2+ffBI-Q;sWX}H(E;Qx`-QNWwQV-cyHqq|c+G3& zhf6KPP&bNc$Usi>&jjyER>bc*+nJtsLQG8DmoDKw{@TUS@x7v=;?i6CfWHAqU*luVy!e)u7C-C`c5Mv6 znfZBnZ%ymi_kI3nZdvVgf!Bwhe~X)N-oFp`ULSWoPUrKHKG_U0Oo-xx%e`v(^#Z)K z;rtBFYb7co(((r?DTy)AT=7^hHmw@Zb(^Pify@y9Qx_Pz9PJo~bWu`L!im8nUYa73 znwr`j9?1vK&dyHjC2XI>jk5!;xO*`FIya;_*9{Jb*X)Nm89@m6aHjEhY(8=rsEkOe zhTAU;pmZ-XIja8{35;l;jFvuYBYgT0rltDY<;9C$9^~8XLGaXw?^UolS-#-hfJzkR zrDpr}b~@AK)?`tU(@w31C!}qNmU*q=qmM1~RrmF=x6pVD^$h=&_E7SqG~L?hH-|V< zA>16R2-5Q~V(FSqSBR5jJ4T!@NCMT`>(rf zv)vcF!OqND5u}9C|9>JB!a1C!-6}hvih4<9On>^lc!na32c&hUODLV5 zo&pWDd-3AImm1Gi3U473e}Cxmo-cTF_P`VszdsnF?7t}?FU%oQxl`d_*B44F=JpzN zHaw!_v&iZ0)&!CW7YFt70+73ErahEZil&<%TGmI@K>F|)e*D|9S)CamkdjE6Xzd2| z-Yi^|-Olb4C{6Zm&9*ML#WHKZ)y&J*&C~3wamQiqkspbRjk58-KfK_}(ZryRfjK20 z*UMOl6U|CLW&ddBZ_ktU67rt;LPsy5$)26HgaBho?b!z9g|G&+HEDLdPWz6T2W z-z5{u^|1|jkdq50DH!-B|LR_keUo*>E5-G*|9w7os{_ZzalN42yUSpIfMEq4{P&yF z%ya;OTn!c4`Q`_)%6R|n_~*6@z-*RvA@n2Cc97|dk_4JlurZ2Y_2 zqJ|Zi;>lE^fZnSh=nC96?)VL5sDrS+!*rQ_Q#gAo2zhFIWNATgIMuQ!j+<7?T|RW` z&X3U;c{?ynJ8U7MjaQbC8D8p0;s2!JtR+=QNQkAa72!9de^%BUQBYWC`nA64$t}l6 zg#(018yg#WtrUDW)euL=$9;KMmdV)R5lL@PT<|WuC4T^N-(m?_#iiv%_zLqmwxrf% zcY6HA!h6F*N=skkij&^nm?zW?9h4N5t?~$}?lf6MR?feM53)j}XyB}@@7YeaGdJ4p zE>iR+a=)q8Q_skZyA6MJh)~h$R|gUFMAtUwko$Z_jW1hkNms@n$HXH2XcUJ!6hrjZ zM{}uUe)+Ub_H22kIl=-)NfUwz)yC=!^xI?W4~5SA&aWTt;K|&ivxrf!zn6m3ni*0x zLR`{KT%5_a#RLa_ z0eIF+XrgjVSEmWbaR^n=ipX?+539S}bm}98YL4 zP8E?1nSY|LQd%x5Nah|sT5gG?ros8y2ueOV><=C|5fT=tjCbp%?eE_guZ(}uK3Q1j z;P3o)^9z^IZIjIpaFKOvhj{7S`|`z)HeGERf!=&JQVnUgd={h+mbFk!b$?~CGLks8 zWZ?z?u6~_nWFbc3zP*dXE2h&46mlO7Y~~(LA)bc6#+h7D?VxEqKa=<)Voq>qOG|{L z0iOVs)4)cI#@cS(%P5Uy|mX~Nkm+%3@5E(%vPnMt{~ z;({=I3q;VrsBt3x0YvPbe|CA|F4bp2HZ?ul*%q=G=s)jHsKx@@09{hIV^MZf&-gNM z%9*^!(dBy76)L zCT`blet)Fh!j}r8Tab!VD6ki-+4w$~15?#Vy~lruqh*mM`GAi+q!!Hx%fWnp2CIes zJ3B8qqZK&m!V)lGcrv?}dfGk+n|j^56x%GAwb))Um+)2TB_jxNUb7~_q7ji2K(9M^ zA1+)IaLu;F_6ytz096dnT8zMDrq*#K zQ=?6ERXz^&Qq5vljDiHEO@PMZ57$F?ra{?@*5ocESqzKkCny zda$N`Xt;y7u)qJzd+LwbdG^{CpD|e!H(b_A*!IqJb0z~-<1k(Dx(`UADA(cF@aqyf z$Ye2i)YRLP_q!=bBEm459ZIPML9vkA>qTZ4>P480f!565HFgTg8q2ExGD@@ECEi%{ zQM1zgGp#t@z2DHmv9V59(Bk-EQtxoE#=yATg*c(QdpC9J8gsM{4REw8R2+PqKrF zk{|Frv?4?XNeH7?aCdj@aXs;oVxJa^j29V&IP?@&485_7xU!WY#jDP zyPYO8!DBHb-7QxyLW`KMzv3|Seg>+hQB+G{p*&X1?t?s__I76~7k#nILawVRw}Fno z$(%btS0Pt$(a6OkTjj3hL{WXYr|c+#eUAm7+jjpgL*VqwC=umjJ#ZGU7cj%UCg%0g zwp3b~C<%r6-!B0r4zeO#n0B$_I;-0!Ev0W9+Mf2V_@P<3bugt;YA6&6v{TB#_tthh zj;Z~l6vEeO((8L=!FiA6epVfSePDUM<6rE40SjbujhA$nDVD3tbld8&Wc>^eI+Qyu zO?-H!(spC#;e_5jNX>(727_}wVF8hh@*iZdLATVgbZHO6>3lY2MZMNW6^?4fWx?;fen8($bJqNx zr>Dg{J-CRNpYlC++9mYAp07p5u9cZ^&prkk*~<>N0Q5?@zY72w>Kt@MSK;raP-y1G`o| zJ9Y3m_(0rvUO_u2E^feu5+o&9^Srzy?!@}2`S3hMCZ6yjjFRY<{{u9cRXg&TilMm% z@w4vg%)*jf*3Jy_`rNP8BZYoHQv`Q`8-6u!891xav`s!mlk=7?BSK0+7GV)M+x20Y z49x$QsN+=4{lB4|8&0EKbwlWJ9m&Llq?D!3%1W#LYa z`}-c1Mw8&{_xu(f^Oq936sBM+dHTHVyagA586OsX;&_5KMb0Py3(wsKY>Ut{3y){k zQYC&LhwArk^7uI3?$Ry!^wy2(ereAf{b#&9-k3~Oh&o$5gRMLMAK|KjR`O>|yxzvC zT=u5=WWx8lU;?QMN7lJJ#kQj_PfUIF%sFe;D;6g+6FdOX+z#@iX;&#ZX6CeaKkqd# z#W2=1WO7nwjQQN&5gc$Wr9ffqp zzh}6vlF1R(^S!eA+sY6ta$x^t3|_^e@{&t;Nl^*Qg0ST3$1oQVcP(X#UjON49e6U3 zt>?e)?D3vY9W%<_~)RwW0cH~1xU<*t+k)qz{FEK7FDXU!hXL5C^44&TJ10b*Tv&FkfOpGgFfwmR6 z$`Cax`=gZsto7n}u7iYxMEmn^M{7O3y|;*O+<0tgm~0x@FqocvI=$S!3fI&PpGVOm`mJ zsx~y}mu6K-%-c2QrVwp1scMwUms*3qY%0zG1Hm6hWM(q*5kkkGB$#g=IF{5h)N#BQ zJ=XNTB5bhQ;2dYJmz_AgpBB}&PX?mVprR|xa4qO@eJb$w-EkM+Bce0C(@%W*_*6mj zO>J#$+w_YotOhp<|8$tPKFexGTuEX*K3e}6(;VM}%dTH<41~mLv0Y-I!&xU7D-DuT zO}9EW4i(+tOi;K)NQfxw;(mELnbq~SAf2)=ULca@{iY4&GAuCW@~w+_1qr~@)W%JI z^i@q~w1aR;4S`AZuXjy|{)qtf(Kka%Jrys8mCX_CiGb};vMxxefs|HmO$8t(=x>Rl zi9Ehj2iObi!T<*Z9W9`xk|lETcOtIAutaykp$2*4D-60`^+n*P=KdVDL+Z8#g>6sn zeHvJhs%kV8@~k=K0X?>Wo?a?&tutusF0Q8r(A5kj03i!7BU}eY0A<+%K?Gj|y$XQG zIc^aM2m?$028dfg%!rrTvTvuirdkEaRtJcY24t6c;YtD^36%fi$$t{{R8di>WCIsQgvK z0LO`(ZStviVf za+%{2lIzPvfsMm-*04(EUP@Wa9dNYXTwVYF!?# zf2R#R1AyN&%=O4pfbN3M5aTswi$H7Z5f|^;Z^e)GebUuIcbWQQw9iFfomxJU(xLs{ z*@cba*jby1bD8NV-p{rJCBX17BmSHY{lbC}Q;ECnXJ1j5x)yGBn6Wo{yr%?&)xELw zoW9h8`ZCbOObI>>M&6^QWAqD0Oa`0>ocsY420Bi_I?l3ueLVbw5FgjY8_xn-p8@L$ ztS8@AYy`k#d4DW$I&oirRxx4+DC^WjUQUe@D!8VWK0uTPe#@QaQ116Rjgh~f{p>UD zoo2Ky*694hoJuSTG^XoR9_eoeR$O7j3$M;rVlgn(86(LiDn0b#~^$PqoSp^3f^ z_2kE>+&Y`vv=P)|*nQo5D_Y7krjH5Viu31}J*wF~W5vYZQl7IQ~n zw=6d*0o}YP7VxD3h}y|R)s`z{%%YxB>Y3W&85IR6pG_M0oy4n+c?Vp2`!+bD4QS7% zYM@lHMzE$v4J~zn9&0yib_%q$w&dB=hh393X6Jz!^_i1)x>feo)DIZ&_eFBe#|&|Q z)8$yWw3lc7|Q)_;d{dA4UN>+Ntjec>B_5Q8I0zhG>-Gnnh@+d1LvJyTOM zVsTc&LPGMufX|MSN;J!vyRyybR=)@3S68RCn^xKLfECoiTQZ%~V)?QXG9?%+dlS8r zaJ%7q0%(l~^lt#EfflY74L)QWMMMBtMX&buAux=m2SgP?=qN!Z#hzzpTk{7ch>lC&H1J z)$aZ!!jI_1RkLIc+X+E?lww4e!@EAv+uiXz5fA%vQ@Rdd^`PS!V2L`wx{WRBf)=Hg z$YNJmDb9%4m1*Oe9`!}sN!#M|Mtp=lc3tC^4$HAm4EJb*wXOjVWqHCp#zJD^k zvz^~=3(mK;E~x-;QJ%@K0}e$O0ZA|>lnu_aMti%<7tCFYB%K^X64;rjy#JODEL{~o zs|IMs2K*Sn2*h<)SwyTr=b5N-T|dWw#=;#`&;?=)XmhHh(3a-hQ@zK#?+ne2%7I>k zUI#m*H}E78n1cJSwMzdYW^VtVQm*_F%JqHEIg&z2B3VNy*+OJ*p|T6vnJi=96O9Sy zNM$d(Av1Pa#=c~)?1bzyV++YPV>i|r-)B0X|KK~ncp2~VEbskX_x)VgRq*{8A8uH- z=Jc`TZq&~+>c;zJyRHP`q6Z!~Ae-H!EH}WpQO!772CgyDnp)PG$*RT;qi_DejjM>v zZfo+Jqs~}$^Wy!p%o3Lv(3Y`KoQ#JniR(Pw_*mv)|{ zESpY$_qtMJNdllu90u86{fTgCD34GfAK$J$1Vvj&7rniN3{G)OGAOnR$ffuDI;>30 zI}@+4v$NMewxeWz8R*`%tX%XYT1!^Q@#O0O9*dW-;w2|?sTELSJ%P_p#S2uOX2?Ti zUs!n54tnDB3%w@qru1gfpni;s8ufMf8^@qSGJ;NnLcnBa9NY(F5}*t_g7?UZs)mJ- zyI-x}l$uhk@3SqBN_(YJi09m(xy_Da(R{WsdU^u&z_m<`aJ?9XuqXiH1i%|ROgAq9 z?>j{8osCRFtRxkc*n^q9~rmzyQ*|;rcUUi+{ z-qGI2Dc1MG#!c6HDKrZbx zqwPCp%Y#SZTrMxv4zmpkKFgB03vv^lemjbM!vbIfOLkDhusg3@@HnfJ#i{or^od+L zO%u)3aB$pXQ9C+otTOpG{rz{aISQac{@tAK=a0(D;$G_%=cmS8R<}Z~dV=!inyl_C zk()PL#f^)MG4;VlcsPxYdHq;ELB~2t%bh4BUSDh99T%p)t1ToAYu;fNY}qpV!ZZSa z3|OxKM^GQgkbt^U!%4uim!Z{{%JaA+HC@V*QD$$FwQ!7k82C8F#%d{L~ zD>h}1KAMe~5K=)1)2+b4Tl4$YIG>eHAM+)yy;8|onvPy28dZ?xx0EC<4}S)E5zunR z8Rml>HJ={qo{9Tn!C*iC55oKpHRzUJi0lDV-*W35CmP7B(SaarTX!oq_t(SnGe9qQ1F{NvO}+zt zxkdMduu8nI1o{@^67sWffnW(_-|sTD z{UlMd`{tOWqSeOo=seNhTn(-9p#)Y=wWIjhquV;oCLaBTN-m4L!Xu~Rh!eHXUm(9b z1Q4Pzz)wlM@0BO?OBVA|UJq!?q6N@6xf((Ql^}s#q96IiL`JJk9n- zM?)(*jJm!6ybYS7;SOSshbv8MwH1S6MKqL`pW{egjILHpn#q_Kq8GxOL0kmcLr{IPBS-@v-tV9BKSK z&HgpTrU$?YW}>c!KCvO;Y|{+j_m>Q4@4pK4)3t_^D9X7b@xY=2FtqH;7`+y^BN72& z`12BPBzwil_N*C+$}E3zqzHnt0Y)7CUF=bpP3@1n!HU5B=m&7X(gzf!8;-pWgUwV+ z3u;~!SzRz$)`KbxiCf1^*cIarS&=Wl`Oki%6_JqGyJ4o4B%0aSs8qWP7v&RhuIs^2 zcKlnmaOmaIb+4PMp1Th9Sp1r?Ck!SSxbc-PD0dt5oyT}}P1;h+^yG?h@XE@!jB7w$ z_coyTya#<%=gI~`R$TE>vU(lBdM8olLV*Tt5$LAXb))xp_b4o>9y?D_I%3=TI<4uC z0bO2H{;QgH)o~MD5E2YLx?=T!0^XFkg%sX{-BGA)2|+QIY8^ozOy%=WCoz3;>f{7( zx*5J{UL9|+BMV&M_~0dj+c_Ea%A$L&)Jp1gkT8SEzYI!@uw*d!66C2;r=cNBrmu%7 zE2-k2!!NS5%y+(z2U}}2bmu>SAHXjzAkkG0c^yzhY88Y*Krn=ESTp_7=%9lRCoHP$ z)RWRy0!sa~g;=;1{i1voxDyi+s3(HUFeDWfJ8u*|->MYpF-2Ux{`DEMny?2(c;KC{ zB(fB>KM^2US|Ptguqx1fc!$;1Jnls~-+ z{^-(~KZqyMtJ|7dyQe0`>bg{Vv4|5sGObAsw5EDzU-7_q-UHyzPJ06_&;CHuTQQqkh`g&+!Eq3PcFLvOXM<=CP!P;f?X{6el z(2{FE22ech5L^QJ1&XHHCAs}TA#m+r{|V$b6DwDN=LK_oBbb$d2MA;+cMYnZWEN&r z({TXFuNShBa?t{KB#C$uvVs~64Nl2$99~aenbQDu_-i@2G`^6H7 z*7JqM&KdX)2N&6zxXkKwadwO4ow`Wh{a;rCKA*b9RJkY%ssZ6G+MPHj@d%FzpC4iaLi@ z3#vt*T(tu>TQ zmQwdIGS1`^+IxIUpg6?9-XZ6$yhXRYo3Fk~y=Xt((?wRupnQMjiMH5!d^LLCId1p`Yc2~7w4HciKa=K>=woRP5*Dqi9|A&7NBU}n zo6?2=&kMkN9eLLesuY(2_g^JH8>gTSN*;o@_ch<@1KornRd5Z)r%sIliiVa2f?%vx zte5HK{N+m0(QRthacMTG$@GE>v|>+R_owi^4GpthGi_iZ&}oEoco#v9k`GAPWO|+tz$;b0d37& zN|oMZO#Zy^efxrn#8m{xw}6(n1o8=K?Igc|95cT^lbP~3Q;hs@z`4j*aw(A{ zQegMxp15@#OZlAog+USMDK2Q0<*YTGwO2hSO|qz{HcMmO*>S6AtuF2k#OP$G>zdSk zpB4`sTZKpW&&9nUudBKFRf|>mXrIHw+0ED8?{9oaYt=HxT*jVGx2zTxFzqST*F+d@S>OFtd^a9MM1 zE1``Gk=BB0Pw0(JtYulp_G%Bhw*OMZl~Ftm#UBN{j`fv)o5HkRNb)FLcgZWEnAdZ> zd|iL&TF_jCEW|gck3ZM3voCDAe^@BnBd*GFh*bKjC-3POztGlTuehC-WBKsawvH5U zi{{}tv}i}mYl=@6IESF0@T{jP01kgm97=v8_Aog-_r@?;UxFZpi& z3O=QWeRWTmw)juZ?4BPP+`aejnzmI|-6NK&s{N?nJUTzp3$%O#^)q_^<%l3fA6gEx zo9S8UVJE6K=h8gms%_NFC%ISO81e1OJ1VdH4PGN&&c1li%WiuC0lCUxyUsd~3K3)4 zDva?s9KB21r@f~y3EVc&Uw=x0;~&-k(ig5=2u{>HN0=7)<#p$(I#xutgEC1hwO3j9 zvypfy2D|SGV=bqHNb!*<1JACxcMpcq!DE9%OK5wRyN{<*NW0y~R`qPx^PNgJ+)YSx zLnfIvm9aQCq4@4g9k%76&>n-ZT=yDJon05P_dH?MdoFYFzBZ^8U8_=2Q< zzKiH)C%Lp6(9_eyAN`P}!=ZApIq>MdxLzer8;8SXtyY_h!Ie;Dz0myO@RD&S86#8E z#|H-oe5rQIzhIOcjCR+6|9v+RQftW=o z9|?92O{0Is^Ci#JxE-h)QIg(AA?UmI4JBZRRVc;hekgXk=KK$@FIp%2NB(gnS$503 z*?*E7F*M)jwU@Ch4H@pToM%JsdekcZs<_P&oio1LD(-|a)}lMlb@kqbZz1#KLUYZ~!^1<9INX733(<4S!;mWM=4=cG{!FH!;c*FhQp|`j zMM5ek^h~+Q^YZk(j)7kMO!vg%lIf!SZ||gHY;RYW>Eh4hUfU4lA{ zfuO5xEA%Y-E-lwZR=rQ>6_VRAHf9EMZTNUz_?nS%J6FJc7^@Xenmw1{DN@DAcNK0r_MeWiUH>S1;!05iprg2l2WwdR;7tuA0&e09}-eVY3=yOgxFU|-Jg za-52xD08KElj)^SPK4(7D*0k5DXBTUaOrs322xhVA26+Nby~M4It%?5K9Ir3p=&cI z2@Vb_S%ZU|7OBXCFz~w!(YAC?leb^Y=oZB)mStKk|_-Ai|p3+NbwlFKYky zZdR}%3@o2G6JW`;VLXIBWic6qr~VkFf0{FgfgJsPUi3=2qYTFbl@lWagNlU(H%a#U zV~XjzgS~L#ws)GUBTNdJb-L9rr2vrF*N}73%7P9)cdP?dJhoLp<^wh=e(xGMM(j+6 z6K8o*;!^UZ)L!ddget{zA!y|CQ{Evj`keQV;2}Kao{|Zwyf;RPnQ_TTjU@h=Lcrtd z*WMA8>1Y6h_E-EW(`Q(9z17G1;Q@r84AjUei14^0Q1 zOpVKMZN!1UZQn7JB1J?-wu<*fU*7rMi(EV?i-gb9S{WO&RRj@hB?Xk<4lHIjHa31h zYt$ltb?~eP76RE=-J-{~Hn^Amn>VG_ZCn8i(58%TJsxSMN;PS&x~c_Q+~n|m-o{{= zIcPst6ud9t7WqrQ@AoZQC#E`{c`2m-@@r79vn=@#>8F#k>rtEbQP&Y008FX8$-hnB z4tWdJl_z@~U2_xyfg(rnc00;=BOWKmz8m6;;?6EI3BFgk_crS^RPwVnIB?oXckw}S z+wnL=T*t6B`Tlzj@l+b6C`hVyQUy!T(C}0pZBHQLElRqb3Ik8f zEO=QD9;FPNhV+;pZ=$vPtwF=C_01%m^c|PcLZ00_bBw~_BEijb>Te$<9Aq*;KDg#X;Lr_Q!)MT2OIvB4uaJ0s?s&(7Z3O zUFr8HszV?dE?|tnhxGwK%@D{Zc5n(HskejW1AIi@g7^o4=-&d*0-WX}_&{O@ffzA@ h8-i19{~xEG(9FpUG{wcph*Gbpp{}b|a{pP#{{d*Ka+3f6 literal 0 HcmV?d00001 diff --git a/Examples/UART/UART-Send/tinkercad_link.txt b/Examples/UART/UART-Send/tinkercad_link.txt new file mode 100644 index 0000000..5a371a3 --- /dev/null +++ b/Examples/UART/UART-Send/tinkercad_link.txt @@ -0,0 +1 @@ +https://www.tinkercad.com/things/4fzzU2QRVqM-uart-send \ No newline at end of file diff --git a/Examples/interrupts/pin_change_demo/pin_change_demo.ino b/Examples/interrupts/pin_change_demo/pin_change_demo.ino index db5f18a..6160d0b 100644 --- a/Examples/interrupts/pin_change_demo/pin_change_demo.ino +++ b/Examples/interrupts/pin_change_demo/pin_change_demo.ino @@ -2,26 +2,31 @@ volatile bool change_detected = false; void setup() { - // put your setup code here, to run once: - DDRC &= ~((1 << DDC0) | (1 << DDC1) | (1 << DDC2) | (1 << DDC3)); - PORTC |= (1 << DDC0) | (1 << DDC1) | (1 << DDC2) | (1 << DDC3); - PCMSK1 |= (1 << PCINT8) | (1 << PCINT9) | (1 << PCINT10) | (1 << PCINT11); - PCICR |= (1 << PCIE1); - Serial.begin(38400, SERIAL_8E2); + // Configure pins PC0, PC1, PC2 and PC3 (A0, A1, A2 and A3) as inputs + DDRC &= ~((1 << DDC0) | (1 << DDC1) | (1 << DDC2) | (1 << DDC3)); + // Enable the built-in pull-up resistors for the same pins + PORTC |= (1 << DDC0) | (1 << DDC1) | (1 << DDC2) | (1 << DDC3); + // Configure these pins to generate group 1 pin change interrupt + PCMSK1 |= (1 << PCINT8) | (1 << PCINT9) | (1 << PCINT10) | (1 << PCINT11); + // Enable the group 1 pin change interrupt + PCICR |= (1 << PCIE1); + Serial.begin(38400, SERIAL_8E2); } void loop() { - if (change_detected) - { - delay(100); - Serial.print("Switch changed: "); - Serial.println(PINC & ((1 << PINC0) | (1 << PINC1) | (1 << PINC2) | (1 << PINC3)), BIN); - change_detected = false; - } + if (change_detected) + { + // Software debounce of contact bounce + delay(100); + Serial.print("Switch changed: "); + // Read the DIP switch and send the new value over UART + Serial.println(PINC & ((1 << PINC0) | (1 << PINC1) | (1 << PINC2) | (1 << PINC3)), BIN); + change_detected = false; + } } ISR(PCINT1_vect) { - change_detected = true; + change_detected = true; } diff --git a/Examples/motors/DC_motor_and_servo/DC_motor_and_servo.ino b/Examples/motors/DC_motor_and_servo/DC_motor_and_servo.ino index 8f1c9a1..50cfa23 100644 --- a/Examples/motors/DC_motor_and_servo/DC_motor_and_servo.ino +++ b/Examples/motors/DC_motor_and_servo/DC_motor_and_servo.ino @@ -42,9 +42,8 @@ void loop() digitalWrite(EN_L, HIGH); */ - //aservo.write(90); + aservo.write(90); - /* for (uint8_t i = 0; i < 4; i++) { forward(255); @@ -54,7 +53,6 @@ void loop() } digitalWrite(EN_L, LOW); digitalWrite(EN_R, LOW); - */ } void forward(uint8_t speed) diff --git a/Examples/motors/DC_motor_speed_control/DC_motor_speed_control.ino b/Examples/motors/DC_motor_speed_control/DC_motor_speed_control.ino index 086bee0..ac84a5a 100644 --- a/Examples/motors/DC_motor_speed_control/DC_motor_speed_control.ino +++ b/Examples/motors/DC_motor_speed_control/DC_motor_speed_control.ino @@ -5,7 +5,7 @@ void setup() { - pinMode(DRV_IN1, OUTPUT); + pinMode(DRV_IN1, OUTPUT); pinMode(DRV_IN2, OUTPUT); pinMode(DRV_EN, OUTPUT); pinMode(POT, INPUT); diff --git a/Examples/motors/Stepper_demo/stepper_demo_delay/stepper_demo_delay.ino b/Examples/motors/Stepper_demo/stepper_demo_delay/stepper_demo_delay.ino index b7ee2b0..0938633 100644 --- a/Examples/motors/Stepper_demo/stepper_demo_delay/stepper_demo_delay.ino +++ b/Examples/motors/Stepper_demo/stepper_demo_delay/stepper_demo_delay.ino @@ -1,10 +1,10 @@ #include -#define A1_PIN 9 -#define A2_PIN 8 -#define B1_PIN 11 -#define B2_PIN 10 -#define EN_PIN 12 +#define A1_PIN 9 +#define A2_PIN 8 +#define B1_PIN 11 +#define B2_PIN 10 +#define EN_PIN 12 #define STEP_TIME 1000 // ms @@ -15,10 +15,10 @@ #define NUM_STEPS 4 const uint8_t coil_current[NUM_STEPS][4] = { - {1, 0, 0, 1}, - {1, 1, 0, 0}, - {0, 1, 1, 0}, - {0, 0, 1, 1} + {1, 0, 0, 1}, + {1, 1, 0, 0}, + {0, 1, 1, 0}, + {0, 0, 1, 1} }; #endif @@ -26,14 +26,14 @@ const uint8_t coil_current[NUM_STEPS][4] = #define NUM_STEPS 8 const uint8_t coil_current[NUM_STEPS][4] = { - {1, 0, 0, 1}, - {1, 0, 0, 0}, - {1, 1, 0, 0}, - {0, 1, 0, 0}, - {0, 1, 1, 0}, - {0, 0, 1, 0}, - {0, 0, 1, 1}, - {0, 0, 0, 1} + {1, 0, 0, 1}, + {1, 0, 0, 0}, + {1, 1, 0, 0}, + {0, 1, 0, 0}, + {0, 1, 1, 0}, + {0, 0, 1, 0}, + {0, 0, 1, 1}, + {0, 0, 0, 1} }; #endif @@ -41,28 +41,28 @@ const uint8_t stepper_pins[4] = {A2_PIN, A1_PIN, B1_PIN, B2_PIN}; void setup() { - for (uint8_t i = 0; i < 4; i++) - { - pinMode(stepper_pins[i], OUTPUT); - } - pinMode(EN_PIN, OUTPUT); - digitalWrite(EN_PIN, HIGH); + for (uint8_t i = 0; i < 4; i++) + { + pinMode(stepper_pins[i], OUTPUT); + } + pinMode(EN_PIN, OUTPUT); + digitalWrite(EN_PIN, HIGH); } void loop() { - static uint8_t step = 0; - - for (uint8_t i = 0; i < 4; i++) - { - digitalWrite(stepper_pins[i], coil_current[step][i]); - } - - step++; - if (step >= NUM_STEPS) - { - step = 0; - } - - delay(STEP_TIME); -} + static uint8_t step = 0; + + for (uint8_t i = 0; i < 4; i++) + { + digitalWrite(stepper_pins[i], coil_current[step][i]); + } + + step++; + if (step >= NUM_STEPS) + { + step = 0; + } + + delay(STEP_TIME); +} diff --git a/Examples/motors/Stepper_demo/stepper_demo_timer/stepper_demo_timer.ino b/Examples/motors/Stepper_demo/stepper_demo_timer/stepper_demo_timer.ino index a4e8741..3626e64 100644 --- a/Examples/motors/Stepper_demo/stepper_demo_timer/stepper_demo_timer.ino +++ b/Examples/motors/Stepper_demo/stepper_demo_timer/stepper_demo_timer.ino @@ -1,10 +1,10 @@ #include -#define A1_PIN 9 -#define A2_PIN 8 -#define B1_PIN 11 -#define B2_PIN 10 -#define EN_PIN 12 +#define A1_PIN 9 +#define A2_PIN 8 +#define B1_PIN 11 +#define B2_PIN 10 +#define EN_PIN 12 #define STEP_TIME 200 // ms, max 1000 @@ -15,10 +15,10 @@ #define NUM_STEPS 4 const uint8_t coil_current[NUM_STEPS][4] = { - {1, 0, 0, 1}, - {1, 1, 0, 0}, - {0, 1, 1, 0}, - {0, 0, 1, 1} + {1, 0, 0, 1}, + {1, 1, 0, 0}, + {0, 1, 1, 0}, + {0, 0, 1, 1} }; #endif @@ -26,14 +26,14 @@ const uint8_t coil_current[NUM_STEPS][4] = #define NUM_STEPS 8 const uint8_t coil_current[NUM_STEPS][4] = { - {1, 0, 0, 1}, - {1, 0, 0, 0}, - {1, 1, 0, 0}, - {0, 1, 0, 0}, - {0, 1, 1, 0}, - {0, 0, 1, 0}, - {0, 0, 1, 1}, - {0, 0, 0, 1} + {1, 0, 0, 1}, + {1, 0, 0, 0}, + {1, 1, 0, 0}, + {0, 1, 0, 0}, + {0, 1, 1, 0}, + {0, 0, 1, 0}, + {0, 0, 1, 1}, + {0, 0, 0, 1} }; #endif @@ -44,8 +44,8 @@ const uint8_t stepper_pins[4] = {A2_PIN, A1_PIN, B1_PIN, B2_PIN}; void setup() { - stepper_init(); - timer1_init(); + stepper_init(); + timer1_init(); } void loop() @@ -53,47 +53,47 @@ void loop() void timer1_init(void) { - // Disable interrupts during timer configuration - noInterrupts(); - // Select CTC mode (4) and set the prescaler to divide the clock by 256 - // resulting in timer frequency of 62.5 kHz - TCCR1A = 0; - TCCR1B = (1 << WGM12) | (1 << CS12); - // Enable interrupt when reaching the maximum value (OCR1A) - TIMSK1 = (1 << OCIE1A); - // Set the timer period to 50 ms (50 * 62.5 = 3125) - OCR1A = (int) STEP_TIME * 62.5; - // Reset OC register B and the input capture register. They are not used - ICR1 = OCR1B = 0; - // Reset the counter register - TCNT1 = 0; - // Enable inerrupts - interrupts(); + // Disable interrupts during timer configuration + noInterrupts(); + // Select CTC mode (4) and set the prescaler to divide the clock by 256 + // resulting in timer frequency of 62.5 kHz + TCCR1A = 0; + TCCR1B = (1 << WGM12) | (1 << CS12); + // Enable interrupt when reaching the maximum value (OCR1A) + TIMSK1 = (1 << OCIE1A); + // Set the timer period to 50 ms (50 * 62.5 = 3125) + OCR1A = (int) STEP_TIME * 62.5; + // Reset OC register B and the input capture register. They are not used + ICR1 = OCR1B = 0; + // Reset the counter register + TCNT1 = 0; + // Enable inerrupts + interrupts(); } ISR(TIMER1_COMPA_vect) { - static volatile uint8_t step = 0; - - for (uint8_t i = 0; i < 4; i++) - { - digitalWrite(stepper_pins[i], coil_current[step][i]); - } - - step++; - if (step >= NUM_STEPS) - { - step = 0; - } + static volatile uint8_t step = 0; + + for (uint8_t i = 0; i < 4; i++) + { + digitalWrite(stepper_pins[i], coil_current[step][i]); + } + + step++; + if (step >= NUM_STEPS) + { + step = 0; + } } void stepper_init(void) { - for (uint8_t i = 0; i < 4; i++) - { - pinMode(stepper_pins[i], OUTPUT); - } - pinMode(EN_PIN, OUTPUT); - digitalWrite(EN_PIN, HIGH); + for (uint8_t i = 0; i < 4; i++) + { + pinMode(stepper_pins[i], OUTPUT); + } + pinMode(EN_PIN, OUTPUT); + digitalWrite(EN_PIN, HIGH); } - + diff --git a/Examples/timer/Timer_1_COMPA_Toggle_pin_13_at_1Hz/Timer_1_COMPA_Toggle_pin_13_at_1Hz.ino b/Examples/timer/Timer_1_COMPA_Toggle_pin_13_at_1Hz/Timer_1_COMPA_Toggle_pin_13_at_1Hz.ino index d603aa4..555df64 100644 --- a/Examples/timer/Timer_1_COMPA_Toggle_pin_13_at_1Hz/Timer_1_COMPA_Toggle_pin_13_at_1Hz.ino +++ b/Examples/timer/Timer_1_COMPA_Toggle_pin_13_at_1Hz/Timer_1_COMPA_Toggle_pin_13_at_1Hz.ino @@ -1,54 +1,63 @@ /* - Timer 1 is set to generate Compare Match Interrupt with frequency 1Hz - The counter counts with frequency 16MHz/1024 = 15.625kHz - For 1s the counter will reach value of [15.625kHz*1s - 1] = [15625 - 1] = 15624 - This value is set as compare value so that when counter reaches it - it will generate Interrupt with Period exactly 1s => Frequency 1Hz - LED attached on pin 13 is TOGGLED with this frequency of 1Hz so it stays 1s on and 1s off + Timer 1 is set to generate Compare Match Interrupt with frequency 1Hz + The counter counts with frequency 16MHz/1024 = 15.625kHz + For 1s the counter will reach value of [15.625kHz*1s - 1] = [15625 - 1] = 15624 + This value is set as compare value so that when counter reaches it + it will generate Interrupt with Period exactly 1s => Frequency 1Hz + LED attached on pin 13 is TOGGLED with this frequency of 1Hz so it stays 1s on and 1s off */ volatile boolean toggle = 0; -void setup() { - pinMode(13, OUTPUT); // Set pin 13 as output - noInterrupts(); //cli(); // Stop reception of interrupts - - // Set Timer 1 Control registers and counter to 0 - TCCR1A = 0; - TCCR1B = 0; - TIMSK1 = 0; - TCNT1 = 0; - - // Set compare match value = Fclk_io / (Fout * Prescaler) - 1 - OCR1A = 15624; // = (16MHz) / (1 * 1024) - 1 - TIMSK1 |= (1 << OCIE1A); // Enable compare match interrupt - - // Set Timer 1 Mode of operation to Clear Timer on Compare Match (CTC -> Mode 4) - TCCR1B |= (1 << WGM12); // Mode 4 - - // Set Timer 1 Clock prescaler and source so that the timer starts counting - TCCR1B |= (1 << CS12) | (1 << CS10); // Set prescaler to 1024 (=> enable the clock) - - interrupts(); //sei(); // Allow reception of interrupts +void setup() +{ + // Set pin 13 as output + pinMode(13, OUTPUT); + // Stop reception of interrupts + noInterrupts(); //cli(); + + // Set Timer 1 Control registers and counter to 0 + TCCR1A = 0; + TCCR1B = 0; + TCNT1 = 0; + OCR1B = 0; + TIMSK1 = 0; + TIFR1 = 0xFF; + + // Set compare match value = Fclk_io / (Fout * Prescaler) - 1 + OCR1A = 15624; // = (16MHz) / (1 * 1024) - 1 + // Enable compare match interrupt + TIMSK1 |= (1 << OCIE1A); + + // Set Timer 1 Mode of operation to Clear Timer on Compare Match (CTC -> Mode 4) + TCCR1B |= (1 << WGM12); // Mode 4 + + // Set Timer 1 Clock prescaler and source so that the timer starts counting + TCCR1B |= (1 << CS12) | (1 << CS10); // Set prescaler to 1024 (=> enable the clock) + + // Allow reception of interrupts + interrupts(); //sei(); } -void loop() { - // put your main code here, to run repeatedly: -} +void loop() +{} /* - Timer1 Interrupt Service Routine (ISR) - Toggle pin 13 (LED) every 1s - Generates pulse wave of frequency 1Hz/2 = 0.5Hz - Takes two cycles for full wave - toggle high then after 1s toggle low after 1s repeat + Timer1 Interrupt Service Routine (ISR) + Toggle pin 13 (LED) every 1s + Generates pulse wave of frequency 1Hz/2 = 0.5Hz + Takes two cycles for full wave - toggle high then after 1s toggle low after 1s repeat */ -ISR(TIMER1_COMPA_vect) { - if (toggle == 1) { - digitalWrite(13, HIGH); - toggle = 0; - } - else { - digitalWrite(13, LOW); - toggle = 1; - } +ISR(TIMER1_COMPA_vect) +{ + if (toggle == 1) + { + digitalWrite(13, HIGH); + toggle = 0; + } + else + { + digitalWrite(13, LOW); + toggle = 1; + } } diff --git a/Examples/timer/Timer_1_COMPA_Toggle_pin_13_at_1Hz/tinkercad_link.txt b/Examples/timer/Timer_1_COMPA_Toggle_pin_13_at_1Hz/tinkercad_link.txt new file mode 100644 index 0000000..f9e030b --- /dev/null +++ b/Examples/timer/Timer_1_COMPA_Toggle_pin_13_at_1Hz/tinkercad_link.txt @@ -0,0 +1 @@ +https://www.tinkercad.com/things/5oJQkL6MRSs-timer1compatogglepin13at1hz \ No newline at end of file diff --git a/Examples/timer/Timer_1_COMPA_and_OVF_10kHz_PWM/Timer_1_COMPA_and_OVF_10kHz_PWM.ino b/Examples/timer/Timer_1_COMPA_and_OVF_10kHz_PWM/Timer_1_COMPA_and_OVF_10kHz_PWM.ino index efa2118..f4d4632 100644 --- a/Examples/timer/Timer_1_COMPA_and_OVF_10kHz_PWM/Timer_1_COMPA_and_OVF_10kHz_PWM.ino +++ b/Examples/timer/Timer_1_COMPA_and_OVF_10kHz_PWM/Timer_1_COMPA_and_OVF_10kHz_PWM.ino @@ -1,62 +1,70 @@ -void setup() { - // Set pin 13 to output - pinMode(13, OUTPUT); - - // Stop reception of interrupts - noInterrupts(); //cli(); +void setup() +{ + // Set pin 13 to output + pinMode(13, OUTPUT); + + // Stop reception of interrupts + noInterrupts(); //cli(); - // Set PB1 to be an output (Pin-9 Arduino UNO) - DDRB |= (1 << PB1); - - // Clear Timer/Counter Control Registers - TCCR1A = 0; - TCCR1B = 0; - TIMSK1 = 0; - - // Set non-inverting mode - Table 15-3 (page 108) - TCCR1A |= (1 << COM1A1); - TCCR1A |= (1 << COM1B1); - - // Set Fast-PWM Mode (Mode 14) - Table 15-5 (page 109) - TCCR1A |= (1 << WGM11); - TCCR1B |= (1 << WGM12); - TCCR1B |= (1 << WGM13); - - // Clear Timer 1 Counter - TCNT1 = 0; - - // Set PWM frequency/top value - Output PWM 10kHz - ICR1 = 199; // Fclk_io / (Fout * Prescaler) - 1 - OCR1A = 100; // Output OC1A will be ON for [OCR1A/(ICR1+1)]% of the time -> 100/(199+1) = 50% - OCR1B = 50; - - // Enable compare match interrupt - TIMSK1 |= (1 << OCIE1A); - TIMSK1 |= (1 << OCIE1B); - TIMSK1 |= (1 << TOIE1); - - // Set prescaler to 8 and starts PWM - TCCR1B |= (1 << CS11); + // Set PB1 to be an output (Pin-9 Arduino UNO; OC1A) + DDRB |= (1 << PB1); + + // Clear Timer/Counter Control Registers + TCCR1A = 0; + TCCR1B = 0; + TIMSK1 = 0; + TIFR1 = 0xFF; + + // Set non-inverting mode - Table 15-3 (page 108) + TCCR1A |= (1 << COM1A1); + + // Set Fast-PWM Mode (Mode 14) - Table 15-5 (page 109) + TCCR1A |= (1 << WGM11); + TCCR1B |= (1 << WGM12); + TCCR1B |= (1 << WGM13); + + // Clear Timer 1 Counter + TCNT1 = 0; + + // Set PWM frequency/top value - Output PWM 10kHz + ICR1 = 199; // Fclk_io / (Fout * Prescaler) - 1 + OCR1A = 100; // Output OC1A will be ON for [OCR1A/(ICR1+1)]% of the time -> 100/(199+1) = 50% + OCR1B = 50; + + // Enable compare match and overflow interrupts + TIMSK1 |= (1 << OCIE1A); + TIMSK1 |= (1 << OCIE1B); + TIMSK1 |= (1 << TOIE1); + + // Set prescaler to 8 and starts PWM + TCCR1B |= (1 << CS11); - // Enables interrupts - interrupts(); //sei(); + // Enables interrupts + interrupts(); //sei(); } -void loop() { - // Empty +void loop() +{ + // Empty } -//Timer1 Compare Match Interrupt turns OFF pin 13 (LED) -ISR(TIMER1_COMPA_vect) { - digitalWrite(13, LOW); +// NOTE: TinkerCAD does not simulate timer interrupts correctly when +// something is connected to the timer pins. + +// Not used, just demonstrating how to define it +ISR(TIMER1_COMPA_vect) +{ + // Empty } //Timer1 Compare Match Interrupt turns OFF pin 13 (LED) -ISR(TIMER1_COMPB_vect) { - //digitalWrite(13, LOW); +ISR(TIMER1_COMPB_vect) +{ + digitalWrite(13, LOW); } //Timer1 Overflow Interrupt turns ON pin 13 (LED) -ISR(TIMER1_OVF_vect) { - digitalWrite(13, HIGH); +ISR(TIMER1_OVF_vect) +{ + digitalWrite(13, HIGH); } diff --git a/Examples/timer/Timer_1_CompMatchA_Interrupt/Timer_1_CompMatchA_Interrupt.ino b/Examples/timer/Timer_1_CompMatchA_Interrupt/Timer_1_CompMatchA_Interrupt.ino index 99a5bfc..ae59009 100644 --- a/Examples/timer/Timer_1_CompMatchA_Interrupt/Timer_1_CompMatchA_Interrupt.ino +++ b/Examples/timer/Timer_1_CompMatchA_Interrupt/Timer_1_CompMatchA_Interrupt.ino @@ -2,51 +2,48 @@ volatile uint16_t var = 0; void setup() { - noInterrupts(); // Забраняваме глобално прекъсванията //cli(); - - // Зануляване на конфигурацията - TCCR1A = 0; - TCCR1B = 0; - TCCR1C = 0; - TCNT1 = 0; - OCR1A = 0; - OCR1B = 0; - ICR1 = 0; - TIMSK1 = 0; - TIFR1 = 0; - - // Режим на таймера - 14 Fast-PWM - TCCR1A = TCCR1A | (1 << WGM11); - TCCR1B = TCCR1B | (1 << WGM12); - TCCR1B = TCCR1B | (1 << WGM13); - // TCCR1A |= (1 << WGM11); - // TCCR1B = TCCR1B | (1 << WGM12) | (1 << WGM13); - - // Режим на изводите - неинв. - TCCR1A = TCCR1A | (1 << COM1A1) | (1 << COM1B1); - - // Честота - 500Hz - // Избираме Prescaler = 1 - ICR1 = 31999; // TOP = 16MHZ/(1*500Hz)-1 = 31999 - - // Коеф. на запълване - 75% - OCR1A = 23999; // DUTY = TOP * 75% = 31999*75% = 23999 - - // Прекъсване - CM A - TIMSK1 |= (1 << OCIE1A); - - // Пускане на таймера - TCCR1B |= (1 << CS10); - - interrupts(); // Разрешаваме глобално прекъсванията //sei(); + // Забраняваме глобално прекъсванията + noInterrupts(); //cli(); + + // Зануляване на конфигурацията + TCCR1A = 0; + TCCR1B = 0; + TCNT1 = 0; + OCR1B = 0; + TIMSK1 = 0; + TIFR1 = 0xFF; + + // Режим на таймера - 14 Fast-PWM + TCCR1A = TCCR1A | (1 << WGM11); + TCCR1B = TCCR1B | (1 << WGM12); + TCCR1B = TCCR1B | (1 << WGM13); + // TCCR1A |= (1 << WGM11); + // TCCR1B = TCCR1B | (1 << WGM12) | (1 << WGM13); + + // Режим на изводите - неинв. + TCCR1A = TCCR1A | (1 << COM1A1) | (1 << COM1B1); + + // Честота - 500Hz + // Избираме Prescaler = 1 + ICR1 = 31999; // TOP = 16MHZ/(1*500Hz)-1 = 31999 + + // Коеф. на запълване - 75% + OCR1A = 23999; // DUTY = TOP * 75% = 31999*75% = 23999 + + // Прекъсване - CM A + TIMSK1 |= (1 << OCIE1A); + + // Пускане на таймера + TCCR1B |= (1 << CS10); + + // Разрешаваме глобално прекъсванията + interrupts(); //sei(); } ISR(TIMER1_COMPA_vect) { - var++; + var++; } void loop() -{ - -} +{} diff --git a/Examples/timer/Timer_1_CompMatchA_Interrupt/Timer_1_CompMatchA_Interrupt.png b/Examples/timer/Timer_1_CompMatchA_Interrupt/Timer_1_CompMatchA_Interrupt.png new file mode 100644 index 0000000000000000000000000000000000000000..bebc3db94703d6f0592a2be330152717d5f2e229 GIT binary patch literal 24472 zcmd43WmJ?=+crFk3W$h;fYMzO(h@^Sr*wnDfFLD}3@M@@(j^ijAt5CL(gUK>(g+MG zT|>jr@b1C;e!l1X^ZtHa%Qe@!a_@7W`>f+Q34g4qM0}m|f^5xP2vODR_(Y_MM`KT&m4= zgA5D>9D10mR~&C$|3+@&timb86H39!f@0xu;=ii;2v5UKw@{($w@;LSG|saR_0;hmqip z7@~qPmv9Ha_+y~B-=K%rkodTRTdgu!+%IJ2ZW|r$SB3@;jfXo3vt|I(1OHra{6F=Q z2kSJ#7N7TT3Ta2>f;r8+0f&8mm-vuV)==CmTM~I%-{(Bm2U9VN`&^RcP}K@+9NxaT z6d4?guxY%F2a(A!{#256A4_l3xF`VT8RR;vUZsIeeE@;H$KR3uk~7J1TM7u|$$0)M zP0Z;vnl;HiZGKc*UT&TgS8mZB9JWCMVep|l%#Y&^k!}mv2*rJwxGm#BgeWGbI8-c$ zQztH0Sp^^4APe`BRQkxoy2k69WYMkLIjIDZdGY%^Kdzxpm}?b93D)7RC?`szf)|q&Rxvk6Ur$)C}Pqp%_}{x8`U;8vVUVp zU>o$e&e9eF^i-0araHa;XDAXb{>Y?;BP+3q>dAHY@qjb?*HN@4TFs&8-ObwmW6vVa zT;p=v;44h--I7fkI<{UV7=OG5={#=FM8|!Li^&IJCX^1f`R(5&%-yX}rfYoHU$s=M zHRR?At4|6%pN>mWX>V^AavBwq?eFier&AWR{e6oEk(Q=j{;bFAJ|Z?&Rz*c6YZzv0 zTPwZ!YH471d7zJ7+Mo196S_ZC9i?Jo^3m=vCx^v%Df4a1sr$Q1DMEkEiOSo?>nNb7 z->YxG!$8vm(=@A$?ZCfrF`Ihzz{5E^BO4bbZ4n?T`rZS7X#p7^Hcn|SY#bE(DOJdx zR3q(SUnHk)ZZDaZr0mW@mplBfMyg08n^p!!KVarpc*T>Z%O(|ev8IO!33omU*t~o4 zGE`1h^|Q|AG_{leM{&nMd6Jor zDSO*D*B)=bJ=Z?|$nJ1^{uPN*&vrb!R<07=%hySKmb?cqU?h4{%gf6Zeur+_=!h06 zjdXEg+hZ05tlzgraIUW>+|r`sgHI)Y;MvKi78bhWD`3O2umpEZO2Aj`-*(IJ-9g0Y z@4k8Srp>EUvvh4};AVR8B-)fd4r8DW@cuL|29qDXSHNSk2E%ok1QkX z1xQhwjyqLHw%uu*9Q-HP^NsV z&m$ybQ$9<>?YwICTWGjjC%`KE6o4 zd}1Q`=Hjo8h)9+CUHkR%nt;Y0pvy9Hm(g`#QbW5vTcp9gB{Djc+Ul0?mw(C(b|yN9RhDRN>ED2-|zR%i|YGJTv&w6 zd#vBfK4!t}r2j;>Mzo}A00@;-LM4O`em(S+h3_(J6Fw!}XN%sf+_oz#FT+>0;^cUv zapT+7_B1i~r4SOSTd0MCybQPd^y-KVzipMiZI{MVFFCCJd`IH?{Ars7OUo~JzNS|C z!0|Ar`A&yU?qW%?K*f@`SIAr8#xF@LqqXgqBJYvLRwIe|n8_X+*btsm-!qK@2;{ z{oLpHYHeR1moZi(LA2jkmv61mfyfwp=S!W#zL0`ytMwhQa7#f0r z>zc_>&iJ%de6;pSK3ZY_hQdmIEYMlM%fPbyg2s;fDx8nTeX`jAvMX@za>uQtJkFtl z$J!_V65sz*|CNIUU1F(SsNCtjf|=J3Dki&;`08A870J=+=n&t~r`HzpjKAZAN` z562BXVGOYex{(wdwDR`ffIB7&8qcmGMK$m8h^*(`nQrGT$(-)v7cg-gwDyc?68O6_ z{UN&`51gW+qh&5`lH*N|Fyl?`!GBTpM;08vQEKHVMW(oQQnk;!T|H^|HA!*~)f4iJ z?XzNDL{<9jwLhn4Ozu?Z?&DvnlJHk8>>g1wI3^HNYRw;8`9xP3l9p{9pkNqAiV8R5 zrA!yu*AA|1E@havTTyw2VFk;}^|7{Eikf@o+!pOqR_0Y>Ht6F0E}&AK;NGbhyFD?* z`th6!5DXYc+q|2rHQL(qapMH%DEp8%_^L@rD408PR7qai@a&xM=^Z;$`Qu+w!{EEB zyKG#2srjudpPUOH^)LQsZR1eE!NDuaCaV zy23|#chHMdy?sidwGH!y2UPQki(QRE-(0_9feSf(WRQKs}Q zbxARs861ZVESp#1_y*Q%wC;s$_-^?`1I zd&EBa0#SiahX+uC>88n-^%$g-?r|FUp++ww zb4!NtpDF1rHmszR;yb(&IU8#FYSQ=fLa0K{AHPfJc!Jc1nOPTc!5Dia2>y~E0Bt^W z%+4z4;Gy&FBlyPvC*4x- zZPPJ4^cyL&myl|p8)4ulu;sBT!eubPY$c$8_w0>@*5!M3xyOZW0RN&_w;(32=M_|9 zR&yC0AGY`r8aQAv{oS%cA?$MTL9GlU(AHBnfhz;-BIexti)@K<=4vubN#`^ z2d0bnxWFc$IyzGt4Ujvk8Rovt5##kwypPd^4ZS?}U3A%%l}Th$6a6((3R+bjyy<=$ ztm4RLl<89bqb?I(txlubPM-qC7}ox%1v30%4(_mEAo|-(pvdtvC6Zbdao}*v`cl#$ z<2OlDQ%Vj0>#u&em;>&*Ay4cqD|KD9Xx zr=>Z8pk^R@*Huk5YH_@G4392G#G=*vmuM=SjD6{EQwVN6v}0wFU#cAcKCHB+rj_)G zxk)Fcn8>NEQmG8M75XkqtBxvgo>TKcxClA@Z z{G#ZkHPh46Fa5zs*J%NBIYejY5z&1Z7joGtVv0BnO33!8f5)XE%f7>}co=VV>LW_# z_}7LK%W!IFs?2e!x!J7y`S%wwrbBnPJcAoVEGc|@yaSF>uBB&RO7FgOp48ClT+>`>oMzA(*``zUgpmD zGHU}YTH#wKbscc8?$l0d_Cz2~3WI|7c?WzIuw2z$AoAoHzgNQ@V)|T> z_g~;qSAdk$mt7p=ZRdg??S!MMGNgay3YP<;MX;bRq6-G=ZI(3dZ;-#P$c{(eqrAb{ z$_`vAFvj+~wmS6U8zS9&j?&BI#$A1b?(>JMZBkIT*vYBDq&r`(uX{?JxQ7b+mYYfx zX$GFc93~F-zL2D|1IKwR;lQq>yCFjUr7xAUswmbW0&x%r7IOc@M)4E1b$QRg%Z;T< z;CrZ&ZlT?4%y4Gh*_gR1GCHC1-yXh$Hr+hR(>r!5Lwn3!} z&3%UkuZSq~gQ&uI)Fg;6`mC}sGgLYY4yf*0{rvR}evTofO4~?$4&j zwr*bpv2LD7FQEH1l?P}PhQ_1-PDRBXJn>9&7z13c9~;ff614ScazDj|#6j{IpHz~V z$k{m@-T4zr@p!?Zpnl8wN{-Tx$(J=YkwTKT}Swqj1vAAGguUtmU&i*$%C(Go1sjZm=tL6w3}5x8`Ht$k^L1T`LoR7!Kl^ z8atCo)P7U$k#PSB-u`=VT)ZJM z@x!jHrriQ8Fo>DBdMkTZ;>1PK+wav?52bcQov{1q-TxxLl}d5tl+t;oFFK>IE<(h| zFs;llpLV_Xbygg%&T1aj!EFMUl*oV#KoGZ7Q4=lX>#k0FmBPWNgD&DPh)e5X(Wg+L zz^Pap(itu6$OaWE1sC{kq6iRj^Oo3yf#!^XYVbTIzjf;fi@fF*2U3j|VMJN>6KwLGGzOQlh`dBLYHFB0E7_T&lND zsE?KLXv%p-kG?eBY*!XL^du8Vy#gzfZMqGy#2QtoJA91+;_dL`@s>=-jbnsLT*|Tb z1L56x#vV?c02U1ntF~4#amAn6)_VC4)46v38pi^`dkIj>D@Xn}gzD0qQ1RIXi&3gS zg?J&5aXcg?f@9|!KdQ`4=w}?w-IKjo;5>kOjc2&18|4(CJ9P}fobC0o;t@q#@oc~3 z+w96*hmYc+Y>Dc3;YByA+#?T>ty5V_UoiZ^H|A)}d-83|?l;@`mGt8YF*S98}U1A{oucCiCMjvuD= zp2AcQW>o&o&u#zFjejyyz#7~!82DnIXZNQ>cXuwy8aY*Q3BvIB-+sS~9@Fv6s4bn? z99^nD9wjz8-!n$LOfvZS8M#K?NV){Eilg*O+dZcJmpjP7Hrjbw+eQW!_dSTLwdi?9 z^f>GCgL+@u81i@r@IuO31`vmcmNz&pqANgFJ<npiIQTPlgmQjOTh z)^9PQZV<@k)r-+9$D-J)Xo!qe=5TD#A) z$K`&|cDqUd)GBtlX3>^C!}_a3HHq5Ari=REi8#}HJ;Ri|W=q(kX=WuR0k#G^=LUx3 zea6^WCb%gHg2V(QyJ}O)8kh9qRTmz_lkr|Z#^--zgvH=MwQFd&3ER)ij8}3f28f<+XNaxAXb!Z z7Bp_h*qA4Gh}-Z%f%Isv@%$|)LoHR_Fl+D%@mQ4b+n)Hd3M)synyD)sJ{_XJKI$T| z6q@xMO@Ftn!@+WCX;uJ5oyTjDMJpacO-{U~S0d_@Xnx{4ry2TWu|c54TJm5maxt7z zMv4LM+S1cl%_QPU!~k#F9%~H98>j-4V(z)!jKN?IzPH}asrUG1dK^Kt!H0$>a6ZS zfc*m$Ji*-T5y%ECq}_$~vZR4qM+ICz)hN1|DbjjeUA1)uN%_nV6uOWR#6g&sxWTZ$ z{mEGOpjm*JXF|vYFW0^Kfr(>6b^)ay4;4(yf2m#zP5Sf6y<7g4v3Bkt;{gWcSI#q# zL)cIb_iirT1sQJ~NE^Xe^!hvuoq>l14=3*m0!s_>sH?FiN?xwSz(j&^{Y;0#N5?72 zmA;0O0r@j3&0Gq?s7zM{o@yEt?%Usy5zpiM$OxATGr(<6kVxG6I`>j%a)j0Rd&1#p z%oq)@C?*2UN8khOi%WMocfM)!=&E0?E53<7CglZUeq~|FUe^n0%eaeEg_XJhbFZiG zY*zRx9%21%MIu|7j56QR1^Nz84LV2VN))Gj~lz8aDYoG+21h3fP4~6hm^ru+H9zC$Y8B*gqb^DMu&jHACnp` z$}q^!!=SLCQBr3n8;WbqnjrLkF)L$!KXLe8eMk4y-H~dLishTiXMu3?mH(WjX}$wv zp+5`5^bV`9&gkz#M=aX*K|2$-{i~_(u((9CKZ@qFz6h_z{bmDu`Y|zSZP=gTh|v zrf*cp;^3O;=SX?^U4w#i&WY_El)U`-1}aJ-u9TIDOL}T`Z@)^FdU+^1kew;3iT+#@ zTpC=he$;rn<-)|&U%3~dib$~EwNr3EisRl7XtTHlBuCjs2O~iy-v##l)j(B~;u~ay zgFLfyUYECmCikq!$g&~-G*OOJdRg*yPacavlDC-1(^*6SbBG*rKcyr+EXMdeC@65%aB~x| zfx)f_iG2I^4JMLWP+l&`YwzHY{dAE4H~CG1cEg8AF%YL_>DC5U0PnKc0eo(s8%PnJ zOz1F~vig!Ozn|32zplT89A2$vF1V?MmVm#E2{@jeiW|C)B>41rH`=Lzdw-w%&Mqwg zb^6q~+bqCWH4swJ5*1a|>#A6Baq%U9AGoSKd&W+D^QIh-0HFrQE0(Jb`Qg)Qe}8^1n&liD|wVL@noZ70E=(_1R zROrjMxcAQU#)#Z|#z)dWB7MerU{X(0C_?uGAMB%MZcuFpib2500=McbmykYHmpn8c$^(sub#-gGQ*2E=={4M5cMDOHtkhed7 z&IRM^2>P$Y(W_NNF4MQULDfZy+2f2!GnmEhO1W(`Ye5)_&KXTs7|#dit{WN-r;>9z z2l}cY9paPHGEYW09YKWd{QdhzA%^fza#GSYbOeATae}|a)Q_%~gC7vKe=eO1mVs&6 zQQs>-S6lfX0F}Re+v%Z4lMA#K8=!)0?byz9KSWY0r@lAc*BrieOf$nM`zY)Mk>xK~ zkJa+$H-gWW{${F<8k%s0LoNLkOe>xDLD&ugki$}h`KMRw^=0IevkPx zIQZDqlsZK{w>k%fN_U=kg_B*c7pqRL)ampp##F1~T7(@G7g)ae%I8WpwxIBC4wSM8 zGcuZDYKhyo~cW3OC)_CbF?IBMLr8V`#=Jx?zqw37auu!6BDY>IXRD2Ro^Xz1h%}tXW_}l z%6e4~A(yFq7oGax=_^XzT=iJ<^&gCIc2M6)N}_n~;1Ho^VrqIDEc~TJpIx2=duhuo zc8~s0>FJ%fBexxcl{JG6%&?qB-xa|bM2daEK2%xS!;Ju`WzhzAhX9_ zH8@3`oB0t+G>AqMq9Yi8RVr?YqPRfTjZKxk@0CC$AtBm(7D3xYp?vLm^q*;O>-lY$ z=4^QJaQf~T{kfOvvqdccV;nPa-hoO2>vVPqbS)HTI>ZOu)%32HM>zPED7|NIc)s)w zIQccpM%}Y#h){ilxVSi$b~>`3pnTK+E1XOr+p`D*5L-@R;Y1v^XT&BbIPlCroEv_A zh&W$nop$5~77h=_Cm-MLp>oO{wDmxZm2NYzOQg58 zS3TJi1II3IS|zbya59x1E*TkZxa_26#%4=UrZGlAi>&f*jr!Wz0fx-lKKVOEP>etI zp(~R{hNM`Im!N=v-%xTwLc&)hdkdHxCr}?GB`Yof`A%E5te?EPPQeKR2^5nhF6#Q^ zbeo)45eS!68*`V>GMxHfMpt8=2QURtrbSqRq?3rNJxAVUyNM!NFZ<&I9F^r|3Q_O@)8#Kgp&+Vr?niL$bW zvT3HZDV)yTy0HJ+Hheqg#L!*Er}FK7F3}j0)~}LJ{^(~76lV7JGSczvu=_Rr1taJD z$XfZ2n_%9U*<|@t?OqiYAPzhn3oR#2&{R)0N=(#tKC4u=lHY+UbRvvB)rt( zC4x7SWQZ^BrIS+@Y(fB>n{;rDE4dV5zbd9SVfDYTeBL|DJH#-S#-VmYX@sIp6MDLRs^*`!v++8(>- z6pkuK$r7C&txi?LxAvLwJpQ;vVb{%M$KF}y747{vUsT1mSvZ)&@}gA8B^&`V0<7}{FjPn(r7^UjA+LX$~GjAtNx(yC1I!gAp8roM`giwS-`u?ka09Ml}O{q7oi7F06w06Ha+oTR0o zOF0j+l+)L502=khZiNXHV*o@as^L&EQmqlwx>|4O_2Uh|nsP$@-(c8}>lRn70x6H| zakV~NO%CBm%Sgrn9s-rC=Rhzo>7hVNzam?uZA;}{vfe~VRdszuONE_ON*|sG_v<^| zXe+?cZ?G7jDCCZmXQA3q+vNF4V_-i()myZ%@=WmQBxvAXa|Gssr~(u&@N5UMtQB}f zrt#rH@R$Lc9?-(?#fQMvT?X`)*j&x@6@BNG!2%)A6}=Kk`i9NJ(cfZ5XhEvT!O>AF zgA>9LpT7QnIZ>BMobPTi$Btb44n_;$nk#t{*&0}x6VjV#T~L561esnx6}K5n^f_wy z9vlVJ(#>IM{lxmDs&=FTAres8Qp{^?vvJ(l7e=I3(MA_oit>qpQute6L3VZc{6*7S zYPUXtmDbkcAep7{8uzE!1qCWXCI@R(Q##hx)<$DH!^x||%{_WJJ_Zvj9MmB_TI!Aq zwuU#h4o@CKX(c_oiOcvmme+Q;j(-m^Bh{{i5lc2o94&bDZJ3l7j1@{YmH;)w)welH z1uQ!iERAP~?iZfqe-KjW`1Bg{Wu9hjyrI9?2|bMIN|z92gz?ca!%v)af6$_LU>ymZ zp#EKi!l&~I0y1PUh4GCKRD&AHr-cRE(njh?#pjNWHyljQz6nkqnk+Wn44(u+qT`S*_j2=Aer z-|_fSwxu;SDS)!Uzya6L&;Yd@2$FIPMmY=;T(-zb4AvXq7sqvqE(@gOBdZ|C8F#=r zp4?pYDt8C2-d0JlRi6Uu0VQn}dK*^kGcksuf8Vu{`^ZLOvX*jxu*Hw7puSHYQG zEVRMyC7as&yrqA9oTqPInr3Y7pIak`-Cc;{z;1CaBoAF_C<2A;@k-67?AizMD3IDX z2Z|Vwm>xGLLzA}J8#B^ZI|YnWzFu<|;k|2#BWSonH{0raV)tIGmZ&md_LAGLbtZmR683L_W z-m_*M#6#aa6ALiD7FcePbnv584HShtI-t4VMK@gZ`=+u7;htIdCe}>IBT3zW;J3B>PpimyXh+XL`KxsDF@i)w7R_ zLfr8}T4FceVsP-TyT^L~iRNb&MAT*MrCL(L_(Xhs%sJQ2W)z-_8mM3kI!dQLkNYJW znj>Al{C?SX#@kpHl~>`YRQ zz@_&1=y*e{(Y9=GW$4(uR|tsqC#NkFOYGLLuecGsJ94riK-?Nx-8bYO`93`v)p4zS z?|SNwwMy}aDb~6*at@$|os$vQ!W5V>P@maGC;hJVi0clnq9lv8BB;FD+3b!#-u;XG z-4ZQtVDh_nmf_vA{RatlLQfOPD{bC33d2)UQk+%D!H&uD%>DdX8zjZ7tgPA~pilj! zQq0$9!zU(Y{1}Vl=(SYX53nFUT>`ee`Em@@1vrf5_b4+VRj?kKlpKTK9!Gzdg3qD~ z1mXp~2~U}2%nV4IV~aL9$`2r#3z65jOKc^^bFAUcIQj}=$-v392|K0j>hXEmRMRu zDB68$Oy|vzz>y7|c)Q8(Kts7YU>lZ==dxX0ls}NPogm_zknb^sxeOK6Y0G%Yg^c(@ zGsT-m73*U6~m0hFi>);oX)tO+HFhlfW@vsEx=3)BbG>K`z+gAyH3 zh9d0kCg#Q#Q|yQyhcsu13b|UwU!pr|y-(&tk@nCY)jhunG4U3ZHz&GU{YJ|8)_Du5 z#DS;MO1;@FvB@JC54p4DBQQ>-cI>m|fgZyF5I*=>Pzw)KXXw#NsdpwpsV@>Nd=@y! zN^s>7PEO7&k~4(}iax;}ZqHdj@gn6;&B)+2ngX+8gx3>H`6z7QYISyaNN-Bu`Je{) zVjIQ6z=LQC-<*m^**)ZqwCUSE{z1x!3u=B3yJ-vIAh~pfF(czQz3>@&gnqum)@WXI zAT(sHy!EK5qkQ-<5FjCQD|DrT?y_@6^-tMtil>-s{00@UlFN0ITD0lOm$Ql|uUdynlk8nm@k@$9hAKv#UVge4OYii2AlOBxG@0T|L+0~ zSR+wqLMrZl02TJ_>@%5R_TbK0c%wFFwMW$QQ^;E`F|YM;*1F#RkRie54mx0) zhVgwQ@VI{WqR`Py0zkL#KDZt9ziz@&zAw(yFD)&7YLb<>7&RWlOOHzT}^4}Oo{Ph(lDLB5=lFPuX#!&d=|PYVeLj5H+vs|09GqqN&)bc8<$J-9fQ zo4bVUmq)|mPWma(^d1lv@fc`|agEz&%*hFE2QBe!?>c>7mZ?JVs% z0S@wcZOprOKuemZ?l-7R^Wn-R5E)Dm(1Y#eJVXbt5Cq8%Rtbl4(=x!de}5EqY2y_J zC$IdklK?-koBk2{uWFAg8v~#QAG{*u{lwCh3t52^S3$}8YiWg^SIwmus8-3|UhL25 zPh(XsAq@HU&tp#k16}z>Oe8h4yzl8G$l2|?K8ikkE$|8vaAaR4Ah)+^NjYlJQcjy` zzXJFH*9N0#(48?T>HPf;eNdrbj&GM-U!qR6hu3todp_&#YmT4{nbCWcJ$3YmF>va3 zhmTV#1~B+#tiaUXZ|?Awgsrz%;lQ9ZLIpDDRi%8ovsF3W-i$SZb~B~L&q1TH%-f*U z7E)rdnmuZQ;&z;T`F+E-f!^`-6<}W6y;JJ1clWUCjb(fCzmYS*`G4WWaEzsO)-HZg z=6`&%s&e;8yi@=fwegPpq2e8`pMj?)Q=X;6P*!_O`vSuh2mj%T4xfe+ldA5uy@ds$ z+xN&UeX#tu4_iymHJqH*2K)QP*B$wW`zk00r49>yDgO)&U2C@dQ+F~%PkMjHZsGVd zQX2FXgkQp8U+#mRHxE!X07_Fa7<=+PoXlX10f(y{cin?Peq6v~?#i(^+M|~q_2ncK z?VoFCm*1dqK@$hzeR%W*lmf{;h6e8&@7@&lY75Z41_^o*;%Eku`F%SKcdeqdq^ooZ zkUQf~-`s#eVj(zYn7rZBh!^pJ4FW6AeUT+hOkryQxj=hx-I8kjnRuM=r$x3<3h-$A^`^ zGT11}J#ryNcA9cv#C_i^xcrKBg~(IkV88`s5A^X1%i>faPcN^2??^-WVO|J>z;#9qZIvluC$t0oV-W`PT`h9zc^6PjLi=`=T$2A@`q*}r%Z<2+ffBI-Q;sWX}H(E;Qx`-QNWwQV-cyHqq|c+G3& zhf6KPP&bNc$Usi>&jjyER>bc*+nJtsLQG8DmoDKw{@TUS@x7v=;?i6CfWHAqU*luVy!e)u7C-C`c5Mv6 znfZBnZ%ymi_kI3nZdvVgf!Bwhe~X)N-oFp`ULSWoPUrKHKG_U0Oo-xx%e`v(^#Z)K z;rtBFYb7co(((r?DTy)AT=7^hHmw@Zb(^Pify@y9Qx_Pz9PJo~bWu`L!im8nUYa73 znwr`j9?1vK&dyHjC2XI>jk5!;xO*`FIya;_*9{Jb*X)Nm89@m6aHjEhY(8=rsEkOe zhTAU;pmZ-XIja8{35;l;jFvuYBYgT0rltDY<;9C$9^~8XLGaXw?^UolS-#-hfJzkR zrDpr}b~@AK)?`tU(@w31C!}qNmU*q=qmM1~RrmF=x6pVD^$h=&_E7SqG~L?hH-|V< zA>16R2-5Q~V(FSqSBR5jJ4T!@NCMT`>(rf zv)vcF!OqND5u}9C|9>JB!a1C!-6}hvih4<9On>^lc!na32c&hUODLV5 zo&pWDd-3AImm1Gi3U473e}Cxmo-cTF_P`VszdsnF?7t}?FU%oQxl`d_*B44F=JpzN zHaw!_v&iZ0)&!CW7YFt70+73ErahEZil&<%TGmI@K>F|)e*D|9S)CamkdjE6Xzd2| z-Yi^|-Olb4C{6Zm&9*ML#WHKZ)y&J*&C~3wamQiqkspbRjk58-KfK_}(ZryRfjK20 z*UMOl6U|CLW&ddBZ_ktU67rt;LPsy5$)26HgaBho?b!z9g|G&+HEDLdPWz6T2W z-z5{u^|1|jkdq50DH!-B|LR_keUo*>E5-G*|9w7os{_ZzalN42yUSpIfMEq4{P&yF z%ya;OTn!c4`Q`_)%6R|n_~*6@z-*RvA@n2Cc97|dk_4JlurZ2Y_2 zqJ|Zi;>lE^fZnSh=nC96?)VL5sDrS+!*rQ_Q#gAo2zhFIWNATgIMuQ!j+<7?T|RW` z&X3U;c{?ynJ8U7MjaQbC8D8p0;s2!JtR+=QNQkAa72!9de^%BUQBYWC`nA64$t}l6 zg#(018yg#WtrUDW)euL=$9;KMmdV)R5lL@PT<|WuC4T^N-(m?_#iiv%_zLqmwxrf% zcY6HA!h6F*N=skkij&^nm?zW?9h4N5t?~$}?lf6MR?feM53)j}XyB}@@7YeaGdJ4p zE>iR+a=)q8Q_skZyA6MJh)~h$R|gUFMAtUwko$Z_jW1hkNms@n$HXH2XcUJ!6hrjZ zM{}uUe)+Ub_H22kIl=-)NfUwz)yC=!^xI?W4~5SA&aWTt;K|&ivxrf!zn6m3ni*0x zLR`{KT%5_a#RLa_ z0eIF+XrgjVSEmWbaR^n=ipX?+539S}bm}98YL4 zP8E?1nSY|LQd%x5Nah|sT5gG?ros8y2ueOV><=C|5fT=tjCbp%?eE_guZ(}uK3Q1j z;P3o)^9z^IZIjIpaFKOvhj{7S`|`z)HeGERf!=&JQVnUgd={h+mbFk!b$?~CGLks8 zWZ?z?u6~_nWFbc3zP*dXE2h&46mlO7Y~~(LA)bc6#+h7D?VxEqKa=<)Voq>qOG|{L z0iOVs)4)cI#@cS(%P5Uy|mX~Nkm+%3@5E(%vPnMt{~ z;({=I3q;VrsBt3x0YvPbe|CA|F4bp2HZ?ul*%q=G=s)jHsKx@@09{hIV^MZf&-gNM z%9*^!(dBy76)L zCT`blet)Fh!j}r8Tab!VD6ki-+4w$~15?#Vy~lruqh*mM`GAi+q!!Hx%fWnp2CIes zJ3B8qqZK&m!V)lGcrv?}dfGk+n|j^56x%GAwb))Um+)2TB_jxNUb7~_q7ji2K(9M^ zA1+)IaLu;F_6ytz096dnT8zMDrq*#K zQ=?6ERXz^&Qq5vljDiHEO@PMZ57$F?ra{?@*5ocESqzKkCny zda$N`Xt;y7u)qJzd+LwbdG^{CpD|e!H(b_A*!IqJb0z~-<1k(Dx(`UADA(cF@aqyf z$Ye2i)YRLP_q!=bBEm459ZIPML9vkA>qTZ4>P480f!565HFgTg8q2ExGD@@ECEi%{ zQM1zgGp#t@z2DHmv9V59(Bk-EQtxoE#=yATg*c(QdpC9J8gsM{4REw8R2+PqKrF zk{|Frv?4?XNeH7?aCdj@aXs;oVxJa^j29V&IP?@&485_7xU!WY#jDP zyPYO8!DBHb-7QxyLW`KMzv3|Seg>+hQB+G{p*&X1?t?s__I76~7k#nILawVRw}Fno z$(%btS0Pt$(a6OkTjj3hL{WXYr|c+#eUAm7+jjpgL*VqwC=umjJ#ZGU7cj%UCg%0g zwp3b~C<%r6-!B0r4zeO#n0B$_I;-0!Ev0W9+Mf2V_@P<3bugt;YA6&6v{TB#_tthh zj;Z~l6vEeO((8L=!FiA6epVfSePDUM<6rE40SjbujhA$nDVD3tbld8&Wc>^eI+Qyu zO?-H!(spC#;e_5jNX>(727_}wVF8hh@*iZdLATVgbZHO6>3lY2MZMNW6^?4fWx?;fen8($bJqNx zr>Dg{J-CRNpYlC++9mYAp07p5u9cZ^&prkk*~<>N0Q5?@zY72w>Kt@MSK;raP-y1G`o| zJ9Y3m_(0rvUO_u2E^feu5+o&9^Srzy?!@}2`S3hMCZ6yjjFRY<{{u9cRXg&TilMm% z@w4vg%)*jf*3Jy_`rNP8BZYoHQv`Q`8-6u!891xav`s!mlk=7?BSK0+7GV)M+x20Y z49x$QsN+=4{lB4|8&0EKbwlWJ9m&Llq?D!3%1W#LYa z`}-c1Mw8&{_xu(f^Oq936sBM+dHTHVyagA586OsX;&_5KMb0Py3(wsKY>Ut{3y){k zQYC&LhwArk^7uI3?$Ry!^wy2(ereAf{b#&9-k3~Oh&o$5gRMLMAK|KjR`O>|yxzvC zT=u5=WWx8lU;?QMN7lJJ#kQj_PfUIF%sFe;D;6g+6FdOX+z#@iX;&#ZX6CeaKkqd# z#W2=1WO7nwjQQN&5gc$Wr9ffqp zzh}6vlF1R(^S!eA+sY6ta$x^t3|_^e@{&t;Nl^*Qg0ST3$1oQVcP(X#UjON49e6U3 zt>?e)?D3vY9W%<_~)RwW0cH~1xU<*t+k)qz{FEK7FDXU!hXL5C^44&TJ10b*Tv&FkfOpGgFfwmR6 z$`Cax`=gZsto7n}u7iYxMEmn^M{7O3y|;*O+<0tgm~0x@FqocvI=$S!3fI&PpGVOm`mJ zsx~y}mu6K-%-c2QrVwp1scMwUms*3qY%0zG1Hm6hWM(q*5kkkGB$#g=IF{5h)N#BQ zJ=XNTB5bhQ;2dYJmz_AgpBB}&PX?mVprR|xa4qO@eJb$w-EkM+Bce0C(@%W*_*6mj zO>J#$+w_YotOhp<|8$tPKFexGTuEX*K3e}6(;VM}%dTH<41~mLv0Y-I!&xU7D-DuT zO}9EW4i(+tOi;K)NQfxw;(mELnbq~SAf2)=ULca@{iY4&GAuCW@~w+_1qr~@)W%JI z^i@q~w1aR;4S`AZuXjy|{)qtf(Kka%Jrys8mCX_CiGb};vMxxefs|HmO$8t(=x>Rl zi9Ehj2iObi!T<*Z9W9`xk|lETcOtIAutaykp$2*4D-60`^+n*P=KdVDL+Z8#g>6sn zeHvJhs%kV8@~k=K0X?>Wo?a?&tutusF0Q8r(A5kj03i!7BU}eY0A<+%K?Gj|y$XQG zIc^aM2m?$028dfg%!rrTvTvuirdkEaRtJcY24t6c;YtD^36%fi$$t{{R8di>WCIsQgvK z0LO`(ZStviVf za+%{2lIzPvfsMm-*04(EUP@Wa9dNYXTwVYF!?# zf2R#R1AyN&%=O4pfbN3M5aTswi$H7Z5f|^;Z^e)GebUuIcbWQQw9iFfomxJU(xLs{ z*@cba*jby1bD8NV-p{rJCBX17BmSHY{lbC}Q;ECnXJ1j5x)yGBn6Wo{yr%?&)xELw zoW9h8`ZCbOObI>>M&6^QWAqD0Oa`0>ocsY420Bi_I?l3ueLVbw5FgjY8_xn-p8@L$ ztS8@AYy`k#d4DW$I&oirRxx4+DC^WjUQUe@D!8VWK0uTPe#@QaQ116Rjgh~f{p>UD zoo2Ky*694hoJuSTG^XoR9_eoeR$O7j3$M;rVlgn(86(LiDn0b#~^$PqoSp^3f^ z_2kE>+&Y`vv=P)|*nQo5D_Y7krjH5Viu31}J*wF~W5vYZQl7IQ~n zw=6d*0o}YP7VxD3h}y|R)s`z{%%YxB>Y3W&85IR6pG_M0oy4n+c?Vp2`!+bD4QS7% zYM@lHMzE$v4J~zn9&0yib_%q$w&dB=hh393X6Jz!^_i1)x>feo)DIZ&_eFBe#|&|Q z)8$yWw3lc7|Q)_;d{dA4UN>+Ntjec>B_5Q8I0zhG>-Gnnh@+d1LvJyTOM zVsTc&LPGMufX|MSN;J!vyRyybR=)@3S68RCn^xKLfECoiTQZ%~V)?QXG9?%+dlS8r zaJ%7q0%(l~^lt#EfflY74L)QWMMMBtMX&buAux=m2SgP?=qN!Z#hzzpTk{7ch>lC&H1J z)$aZ!!jI_1RkLIc+X+E?lww4e!@EAv+uiXz5fA%vQ@Rdd^`PS!V2L`wx{WRBf)=Hg z$YNJmDb9%4m1*Oe9`!}sN!#M|Mtp=lc3tC^4$HAm4EJb*wXOjVWqHCp#zJD^k zvz^~=3(mK;E~x-;QJ%@K0}e$O0ZA|>lnu_aMti%<7tCFYB%K^X64;rjy#JODEL{~o zs|IMs2K*Sn2*h<)SwyTr=b5N-T|dWw#=;#`&;?=)XmhHh(3a-hQ@zK#?+ne2%7I>k zUI#m*H}E78n1cJSwMzdYW^VtVQm*_F%JqHEIg&z2B3VNy*+OJ*p|T6vnJi=96O9Sy zNM$d(Av1Pa#=c~)?1bzyV++YPV>i|r-)B0X|KK~ncp2~VEbskX_x)VgRq*{8A8uH- z=Jc`TZq&~+>c;zJyRHP`q6Z!~Ae-H!EH}WpQO!772CgyDnp)PG$*RT;qi_DejjM>v zZfo+Jqs~}$^Wy!p%o3Lv(3Y`KoQ#JniR(Pw_*mv)|{ zESpY$_qtMJNdllu90u86{fTgCD34GfAK$J$1Vvj&7rniN3{G)OGAOnR$ffuDI;>30 zI}@+4v$NMewxeWz8R*`%tX%XYT1!^Q@#O0O9*dW-;w2|?sTELSJ%P_p#S2uOX2?Ti zUs!n54tnDB3%w@qru1gfpni;s8ufMf8^@qSGJ;NnLcnBa9NY(F5}*t_g7?UZs)mJ- zyI-x}l$uhk@3SqBN_(YJi09m(xy_Da(R{WsdU^u&z_m<`aJ?9XuqXiH1i%|ROgAq9 z?>j{8osCRFtRxkc*n^q9~rmzyQ*|;rcUUi+{ z-qGI2Dc1MG#!c6HDKrZbx zqwPCp%Y#SZTrMxv4zmpkKFgB03vv^lemjbM!vbIfOLkDhusg3@@HnfJ#i{or^od+L zO%u)3aB$pXQ9C+otTOpG{rz{aISQac{@tAK=a0(D;$G_%=cmS8R<}Z~dV=!inyl_C zk()PL#f^)MG4;VlcsPxYdHq;ELB~2t%bh4BUSDh99T%p)t1ToAYu;fNY}qpV!ZZSa z3|OxKM^GQgkbt^U!%4uim!Z{{%JaA+HC@V*QD$$FwQ!7k82C8F#%d{L~ zD>h}1KAMe~5K=)1)2+b4Tl4$YIG>eHAM+)yy;8|onvPy28dZ?xx0EC<4}S)E5zunR z8Rml>HJ={qo{9Tn!C*iC55oKpHRzUJi0lDV-*W35CmP7B(SaarTX!oq_t(SnGe9qQ1F{NvO}+zt zxkdMduu8nI1o{@^67sWffnW(_-|sTD z{UlMd`{tOWqSeOo=seNhTn(-9p#)Y=wWIjhquV;oCLaBTN-m4L!Xu~Rh!eHXUm(9b z1Q4Pzz)wlM@0BO?OBVA|UJq!?q6N@6xf((Ql^}s#q96IiL`JJk9n- zM?)(*jJm!6ybYS7;SOSshbv8MwH1S6MKqL`pW{egjILHpn#q_Kq8GxOL0kmcLr{IPBS-@v-tV9BKSK z&HgpTrU$?YW}>c!KCvO;Y|{+j_m>Q4@4pK4)3t_^D9X7b@xY=2FtqH;7`+y^BN72& z`12BPBzwil_N*C+$}E3zqzHnt0Y)7CUF=bpP3@1n!HU5B=m&7X(gzf!8;-pWgUwV+ z3u;~!SzRz$)`KbxiCf1^*cIarS&=Wl`Oki%6_JqGyJ4o4B%0aSs8qWP7v&RhuIs^2 zcKlnmaOmaIb+4PMp1Th9Sp1r?Ck!SSxbc-PD0dt5oyT}}P1;h+^yG?h@XE@!jB7w$ z_coyTya#<%=gI~`R$TE>vU(lBdM8olLV*Tt5$LAXb))xp_b4o>9y?D_I%3=TI<4uC z0bO2H{;QgH)o~MD5E2YLx?=T!0^XFkg%sX{-BGA)2|+QIY8^ozOy%=WCoz3;>f{7( zx*5J{UL9|+BMV&M_~0dj+c_Ea%A$L&)Jp1gkT8SEzYI!@uw*d!66C2;r=cNBrmu%7 zE2-k2!!NS5%y+(z2U}}2bmu>SAHXjzAkkG0c^yzhY88Y*Krn=ESTp_7=%9lRCoHP$ z)RWRy0!sa~g;=;1{i1voxDyi+s3(HUFeDWfJ8u*|->MYpF-2Ux{`DEMny?2(c;KC{ zB(fB>KM^2US|Ptguqx1fc!$;1Jnls~-+ z{^-(~KZqyMtJ|7dyQe0`>bg{Vv4|5sGObAsw5EDzU-7_q-UHyzPJ06_&;CHuTQQqkh`g&+!Eq3PcFLvOXM<=CP!P;f?X{6el z(2{FE22ech5L^QJ1&XHHCAs}TA#m+r{|V$b6DwDN=LK_oBbb$d2MA;+cMYnZWEN&r z({TXFuNShBa?t{KB#C$uvVs~64Nl2$99~aenbQDu_-i@2G`^6H7 z*7JqM&KdX)2N&6zxXkKwadwO4ow`Wh{a;rCKA*b9RJkY%ssZ6G+MPHj@d%FzpC4iaLi@ z3#vt*T(tu>TQ zmQwdIGS1`^+IxIUpg6?9-XZ6$yhXRYo3Fk~y=Xt((?wRupnQMjiMH5!d^LLCId1p`Yc2~7w4HciKa=K>=woRP5*Dqi9|A&7NBU}n zo6?2=&kMkN9eLLesuY(2_g^JH8>gTSN*;o@_ch<@1KornRd5Z)r%sIliiVa2f?%vx zte5HK{N+m0(QRthacMTG$@GE>v|>+R_owi^4GpthGi_iZ&}oEoco#v9k`GAPWO|+tz$;b0d37& zN|oMZO#Zy^efxrn#8m{xw}6(n1o8=K?Igc|95cT^lbP~3Q;hs@z`4j*aw(A{ zQegMxp15@#OZlAog+USMDK2Q0<*YTGwO2hSO|qz{HcMmO*>S6AtuF2k#OP$G>zdSk zpB4`sTZKpW&&9nUudBKFRf|>mXrIHw+0ED8?{9oaYt=HxT*jVGx2zTxFzqST*F+d@S>OFtd^a9MM1 zE1``Gk=BB0Pw0(JtYulp_G%Bhw*OMZl~Ftm#UBN{j`fv)o5HkRNb)FLcgZWEnAdZ> zd|iL&TF_jCEW|gck3ZM3voCDAe^@BnBd*GFh*bKjC-3POztGlTuehC-WBKsawvH5U zi{{}tv}i}mYl=@6IESF0@T{jP01kgm97=v8_Aog-_r@?;UxFZpi& z3O=QWeRWTmw)juZ?4BPP+`aejnzmI|-6NK&s{N?nJUTzp3$%O#^)q_^<%l3fA6gEx zo9S8UVJE6K=h8gms%_NFC%ISO81e1OJ1VdH4PGN&&c1li%WiuC0lCUxyUsd~3K3)4 zDva?s9KB21r@f~y3EVc&Uw=x0;~&-k(ig5=2u{>HN0=7)<#p$(I#xutgEC1hwO3j9 zvypfy2D|SGV=bqHNb!*<1JACxcMpcq!DE9%OK5wRyN{<*NW0y~R`qPx^PNgJ+)YSx zLnfIvm9aQCq4@4g9k%76&>n-ZT=yDJon05P_dH?MdoFYFzBZ^8U8_=2Q< zzKiH)C%Lp6(9_eyAN`P}!=ZApIq>MdxLzer8;8SXtyY_h!Ie;Dz0myO@RD&S86#8E z#|H-oe5rQIzhIOcjCR+6|9v+RQftW=o z9|?92O{0Is^Ci#JxE-h)QIg(AA?UmI4JBZRRVc;hekgXk=KK$@FIp%2NB(gnS$503 z*?*E7F*M)jwU@Ch4H@pToM%JsdekcZs<_P&oio1LD(-|a)}lMlb@kqbZz1#KLUYZ~!^1<9INX733(<4S!;mWM=4=cG{!FH!;c*FhQp|`j zMM5ek^h~+Q^YZk(j)7kMO!vg%lIf!SZ||gHY;RYW>Eh4hUfU4lA{ zfuO5xEA%Y-E-lwZR=rQ>6_VRAHf9EMZTNUz_?nS%J6FJc7^@Xenmw1{DN@DAcNK0r_MeWiUH>S1;!05iprg2l2WwdR;7tuA0&e09}-eVY3=yOgxFU|-Jg za-52xD08KElj)^SPK4(7D*0k5DXBTUaOrs322xhVA26+Nby~M4It%?5K9Ir3p=&cI z2@Vb_S%ZU|7OBXCFz~w!(YAC?leb^Y=oZB)mStKk|_-Ai|p3+NbwlFKYky zZdR}%3@o2G6JW`;VLXIBWic6qr~VkFf0{FgfgJsPUi3=2qYTFbl@lWagNlU(H%a#U zV~XjzgS~L#ws)GUBTNdJb-L9rr2vrF*N}73%7P9)cdP?dJhoLp<^wh=e(xGMM(j+6 z6K8o*;!^UZ)L!ddget{zA!y|CQ{Evj`keQV;2}Kao{|Zwyf;RPnQ_TTjU@h=Lcrtd z*WMA8>1Y6h_E-EW(`Q(9z17G1;Q@r84AjUei14^0Q1 zOpVKMZN!1UZQn7JB1J?-wu<*fU*7rMi(EV?i-gb9S{WO&RRj@hB?Xk<4lHIjHa31h zYt$ltb?~eP76RE=-J-{~Hn^Amn>VG_ZCn8i(58%TJsxSMN;PS&x~c_Q+~n|m-o{{= zIcPst6ud9t7WqrQ@AoZQC#E`{c`2m-@@r79vn=@#>8F#k>rtEbQP&Y008FX8$-hnB z4tWdJl_z@~U2_xyfg(rnc00;=BOWKmz8m6;;?6EI3BFgk_crS^RPwVnIB?oXckw}S z+wnL=T*t6B`Tlzj@l+b6C`hVyQUy!T(C}0pZBHQLElRqb3Ik8f zEO=QD9;FPNhV+;pZ=$vPtwF=C_01%m^c|PcLZ00_bBw~_BEijb>Te$<9Aq*;KDg#X;Lr_Q!)MT2OIvB4uaJ0s?s&(7Z3O zUFr8HszV?dE?|tnhxGwK%@D{Zc5n(HskejW1AIi@g7^o4=-&d*0-WX}_&{O@ffzA@ h8-i19{~xEG(9FpUG{wcph*Gbpp{}b|a{pP#{{d*Ka+3f6 literal 0 HcmV?d00001 diff --git a/Examples/timer/Timer_1_CompMatchA_Interrupt/tinkercad_link.txt b/Examples/timer/Timer_1_CompMatchA_Interrupt/tinkercad_link.txt new file mode 100644 index 0000000..7d54c01 --- /dev/null +++ b/Examples/timer/Timer_1_CompMatchA_Interrupt/tinkercad_link.txt @@ -0,0 +1 @@ +https://www.tinkercad.com/things/jzFefsghwuC-timer1compmatchainterrupt \ No newline at end of file diff --git a/Examples/timer/Timer_1_OC1A_Blink_pin_9_at_1Hz_with_external_enable/Timer_1_OC1A_Blink_pin_9_at_1Hz_with_external_enable.ino b/Examples/timer/Timer_1_OC1A_Blink_pin_9_at_1Hz_with_external_enable/Timer_1_OC1A_Blink_pin_9_at_1Hz_with_external_enable.ino index e6bb3ef..7efe474 100644 --- a/Examples/timer/Timer_1_OC1A_Blink_pin_9_at_1Hz_with_external_enable/Timer_1_OC1A_Blink_pin_9_at_1Hz_with_external_enable.ino +++ b/Examples/timer/Timer_1_OC1A_Blink_pin_9_at_1Hz_with_external_enable/Timer_1_OC1A_Blink_pin_9_at_1Hz_with_external_enable.ino @@ -1,61 +1,66 @@ -#define BTN_PIN 2 +#define BTN_PIN 2 volatile uint8_t timer_en = 0; void button_pressed_ISR(void); +// NOTE: TinkerCAD has some issues when simulating timers, it's better to run this on real hardware + void setup() { - // Stop reception of interrupts - noInterrupts(); //cli(); + // Stop reception of interrupts + noInterrupts(); //cli(); - pinMode(BTN_PIN, INPUT_PULLUP); - attachInterrupt(digitalPinToInterrupt(BTN_PIN), button_pressed_ISR, FALLING); + pinMode(BTN_PIN, INPUT_PULLUP); + attachInterrupt(digitalPinToInterrupt(BTN_PIN), button_pressed_ISR, FALLING); - // Set PB1 to be an output (Pin9 Arduino UNO) - DDRB |= (1 << PB1); + // Set PB1 to be an output (Pin9 Arduino UNO) + DDRB |= (1 << PB1); - // Clear Timer/Counter Control Registers - TCCR1A = 0; - TCCR1B = 0; - TIMSK1 = 0; + // Clear Timer/Counter Control Registers + TCCR1A = 0; + TCCR1B = 0; + OCR1B = 0; + TIMSK1 = 0; + TIFR1 = 0xFF; - // Set non-inverting mode - Table 15-3 (page 108) - TCCR1A |= (1 << COM1A1); + // Set non-inverting mode - Table 15-3 (page 108) + TCCR1A |= (1 << COM1A1); - // Set Fast-PWM Mode (Mode 14) - Table 15-5 (page 109) - TCCR1A |= (1 << WGM11); - TCCR1B |= (1 << WGM12); - TCCR1B |= (1 << WGM13); + // Set Fast-PWM Mode (Mode 14) - Table 15-5 (page 109) + TCCR1A |= (1 << WGM11); + TCCR1B |= (1 << WGM12); + TCCR1B |= (1 << WGM13); - // Clear Timer 1 Counter - TCNT1 = 0; + // Clear Timer 1 Counter + TCNT1 = 0; - // Set PWM frequency/top value - Output PWM 1Hz - ICR1 = 15625; - OCR1A = 10000; + // Set PWM frequency/top value - Output PWM 1Hz + ICR1 = 15625; + OCR1A = 10000; - // Enable interrupts - interrupts(); + // Enable interrupts + interrupts(); } -void loop() { - // some useless code here - } +void loop() +{ + // some useless code here +} void button_pressed_ISR(void) { - if (timer_en) - { - timer_en = 0; - // Disable the timer by selecting "none" as the clock source - TCCR1B &= ~((1 << CS11) | (1 << CS12) | (1 << CS10)); - } - else - { - timer_en = 1; - // Enable the timer - TCCR1B |= (1 << CS12) | (1 << CS10); - TCCR1B &= ~(1 << CS11); - } + if (timer_en) + { + timer_en = 0; + // Disable the timer by selecting "none" as the clock source + TCCR1B &= ~((1 << CS11) | (1 << CS12) | (1 << CS10)); + } + else + { + timer_en = 1; + // Enable the timer + TCCR1B |= (1 << CS12) | (1 << CS10); + TCCR1B &= ~(1 << CS11); + } } diff --git a/Examples/timer/Timer_DIP_switch_frequency/Timer_DIP_switch_frequency.ino b/Examples/timer/Timer_DIP_switch_frequency/Timer_DIP_switch_frequency.ino index 4f1e4b7..a421d8e 100644 --- a/Examples/timer/Timer_DIP_switch_frequency/Timer_DIP_switch_frequency.ino +++ b/Examples/timer/Timer_DIP_switch_frequency/Timer_DIP_switch_frequency.ino @@ -8,66 +8,70 @@ void button_ISR(void); -volatile bool led_flag = false; - void setup() { - pinMode(DIP_SW_0, INPUT_PULLUP); - pinMode(DIP_SW_1, INPUT_PULLUP); - pinMode(DIP_SW_2, INPUT_PULLUP); - pinMode(DIP_SW_3, INPUT_PULLUP); - pinMode(BUTTON, INPUT_PULLUP); - pinMode(LED, OUTPUT); - digitalWrite(LED, LOW); + pinMode(DIP_SW_0, INPUT_PULLUP); + pinMode(DIP_SW_1, INPUT_PULLUP); + pinMode(DIP_SW_2, INPUT_PULLUP); + pinMode(DIP_SW_3, INPUT_PULLUP); + pinMode(BUTTON, INPUT_PULLUP); + pinMode(LED, OUTPUT); + digitalWrite(LED, LOW); - attachInterrupt(digitalPinToInterrupt(BUTTON), button_ISR, FALLING); + attachInterrupt(digitalPinToInterrupt(BUTTON), button_ISR, FALLING); - // Disable interrupts during timer configuration - cli(); + // Disable interrupts during timer configuration + cli(); - // Zero the control and counter registers - TCCR1A = TCCR1B = TIMSK1 = 0; - TCNT1 = 0; + // Zero the control and counter registers + TCCR1A = TCCR1B = TIMSK1 = OCR1B = 0; + TCNT1 = 0; + TIFR1 = 0; - // Select mode 15 (Fast PWM, TOP = OCR1A) - TCCR1A |= (1 << WGM10); - TCCR1A |= (1 << WGM11); - TCCR1B |= (1 << WGM12); - TCCR1B |= (1 << WGM13); + // Select mode 15 (Fast PWM, TOP = OCR1A) + TCCR1A |= (1 << WGM10); + TCCR1A |= (1 << WGM11); + TCCR1B |= (1 << WGM12); + TCCR1B |= (1 << WGM13); - // Set max counter value (frequency) - OCR1A = 7811; + // Set max counter value (frequency) + OCR1A = 7811; - // Enable timer overflow interrupt - TIMSK1 |= (1 << TOIE1); + // Enable timer overflow interrupt + TIMSK1 |= (1 << TOIE1); - // Set prescaler to 1024 - TCCR1B |= (1 << CS10) | (1 << CS12); + // Set prescaler to 1024 + TCCR1B |= (1 << CS10) | (1 << CS12); - // Enable interrupts - sei(); + // Enable interrupts + sei(); - Serial.begin(9600); + Serial.begin(9600); } void loop() { - Serial.println(OCR1A); - delay(1000); + Serial.println(OCR1A); + delay(1000); } ISR(TIMER1_OVF_vect) { - digitalWrite(LED, led_flag); - led_flag = !led_flag; + static volatile bool led_flag = false; + + digitalWrite(LED, led_flag); + led_flag = !led_flag; } void button_ISR(void) { - uint8_t f = ~PINB & 0x0F; - - if (f) - { - OCR1A = 16000000 / (1024 * f) - 1; - } + // Read the DIP switch + uint8_t f = ~PINB & 0x0F; + + if (f) + { + // Convert frequency to OCR1A value + OCR1A = 16000000 / (1024 * f) - 1; + } + // Instead of ignoring the case f == 0, you could also disable the timer } diff --git a/Examples/timer/Timer_PWM_10kHz_D25/Timer_PWM_10kHz_D25.ino b/Examples/timer/Timer_PWM_10kHz_D25/Timer_PWM_10kHz_D25.ino index 636d6cc..3209246 100644 --- a/Examples/timer/Timer_PWM_10kHz_D25/Timer_PWM_10kHz_D25.ino +++ b/Examples/timer/Timer_PWM_10kHz_D25/Timer_PWM_10kHz_D25.ino @@ -1,23 +1,26 @@ // Timer 1 - Mode 14 // f = 10kHz ; δ = 25% -void setup() { +void setup() +{ + // Configure the OC1A pin as an output + DDRB |= (1 << PB1); - DDRB |= (1 << PB1); - - // Zero - TCCR1A = 0; - TCCR1B = 0; - - // Set stuff - TCCR1A |= (1 << WGM11) | (1 << COM1A1); - TCCR1B |= (1 << WGM13) | (1 << WGM12); - ICR1 = 1599; // Period (TOP) - OCR1A = 400; // Duty cycle - TCCR1B |= (1 << CS10); + // Zero + TCCR1A = 0; + TCCR1B = 0; + TIMSK1 = 0; + OCR1B = 0; + TIFR1 = 0xFF; + // Set timer mode and output mode + TCCR1A |= (1 << WGM11) | (1 << COM1A1); + TCCR1B |= (1 << WGM13) | (1 << WGM12); + ICR1 = 1599; // Period (TOP) + OCR1A = 400; // Duty cycle + // Activate the timer by selecting the clock source + TCCR1B |= (1 << CS10); } -void loop() { - -} +void loop() +{} diff --git a/Examples/timer/Timer_PWM_10kHz_D25/Timer_PWM_10kHz_D25.png b/Examples/timer/Timer_PWM_10kHz_D25/Timer_PWM_10kHz_D25.png new file mode 100644 index 0000000000000000000000000000000000000000..36e36279b99f37a11afa5565db59da06180098bf GIT binary patch literal 39998 zcmbTdWmuHo8wNOpAV`C>l!{18cVo~kDJk6{DBay4(k0#9T>>KA-AMP)>>2$1clX-s z+WoNe1!mrP&wJuI_qp%;c_vU!<{dgJF)9QCLI3bxOaTIc&xJtXCLSY!Pa2$@t-&8e zJCP4ckHO33u|WU?LIL?8CamO?v^($YH0t2RbmwSkk?>Pmg306w+Yr8bM7C-2m)amQ z4aYx0UaY^9l&9kPTjC>ajJ~8k8{!|l99Y?kuOJxXZgK5)uyOb@h+5CuK|}iowTQ|# zmR3TM(Zb@%>miONp;2m!gq?%%h#@v8hl$9E8$TvyoeNW{70xf-)Phz6GCR)z@S^$f z7*`8I1zs7wgmQtfPx6@4aImql=Ucx^A+3q1B23{Y0;bLL8M4=+kg6r5XpCT#2 zZj_)!%Y|K!QTks40soo|YHI6#t9d;rn0EV{=s;f~5b?=D9dcGUf7tK*e8lfzG;E%| zd>az>l(46A6ecHgmFx4`@9k06Xf*79nya&WHS*+%QJ#TVq&}=C3D1#8NRARy@1D}j z7>Ugi(BMto{AobTi?N?V1|2iTAmn$T&9_E{xKiVuTeu+NHZ|GM6Um=G^lHNcGsO*D z-5xe#Ssrb<2;(|RtSt9FvKggKWV{B)KCMm|o`Y@`RIy-U~=2dtS(NlVHW6&9Qh>;V~xe>h0nJBQ>lf`vOD*342R5uHEPC2C6#>&?TWQs4!{ zK@bQSU(~)3`!_!Rb+1RPydFZ3@pj+RgaItcQGG?445Ehy>j$!W4Ss3WU~gNacrXPP>YFdOJk)RBE!%VH z)Tmlo&|nEArC`Xb6SI_;N2J6)@*fWxzHlUjSb8xGVY^J(=7iOQ#)gL3jlRvCOCm3)WyYyvhJ18`5sT(DlAZ0KHW zy^1(J*GunzWG9PBzwst@FXr;HH0uL1O|))CC7!nP8n(gH0a>}cK=^-=D4N9Sy?OkO zOjtf*X?kKJ$jl!T0rK|UJEICGG3;d;H?S6X6;3vR(DT66o;O@vKUznyE+1}{cw=H> zqFQCj4rw*5S{Z7?)TvU()uhePcnC#>i0XXQ_4TKaxq%yG!3&DEmsIr+yN*VD-tqqS zS8p#I~+q)l9)CU&*7fxc=A+uH% zj`Ozs8=t8XFdn|no$T@iO z1wARwcIrwv$lI6CZC(?|S8~YwtALrk#<9=~ew>F}HtX|ItM@ zZLcI&5%>Tz5XdyqbRZ)P^xcxxiL}Z+!@C&euLcVg#O#A^$-U(Z8YTxy-FJ zB~wa=8o zN4UJa#NIPvCRtIV&oFtwzXXIose=Be_OBtWECPPp4f`_IfdSd!us&{a7}d-k&6u_L ziBZ8pXz)<+%-H0~b0oB$?qpy~Klz6g` zydtlZLG&;#)TQoFl8d#iR~Kv1vWLn>uin0s{!tpzv20EKlMmh#f)j{nV)FOBr0hx9 z6fz|EGbvNcjqH$$%3FuupA?x8sgRT;1RfA1IwUM?<>3DAVCZo9og58IB%=dzTL>Bv z3yT2?V=_~P30{93L&xIX-XfLJLSrtJ^T+jy9BoGY!*;0u>y0VUdVkf$M4?C%5uF&f zwQ^B5y;nMGjuq^an@iKP>VEtRY!`>$VI|)QI(JpYSRXLd z9#X<*Z>VI8x^KMT@yvoKCFL0MUr2ie1%0l)E^bIu$kTIkiJSKo5!d}4F3I)`*72C* zyDRq_Og7g$ZkCD|2qbYFwKXvHwnebRb!YNbrTG!{m%vE&?9K>R=3#Nl2MEuIIX@P> zXBFT*@pT*;4j2x2$0^|TjQ7inT7wGx@mS?wDRSxj75x9#*UyIEkuP($+&(j~<%U3s z&zV|I>E^y}CZjM;@5=Q*Nvkx3gD8bfIg(H$Fv5aC;)DL`>c#Y*WVwZ1J5%CkZ6qZv z7y}vNfecghv`Tm)FRl^M~UAIW)S#ML~ z)nj0)wjU+^z@YKU!Nn! z1q4vTRwg6n#9y!xGyXS!YF_`}_MoS9Fu+L&@%{h+}CV|qk*DDkP;#}Wx^6C6n@ zdn(>}KeRU0j9`(qF4z`*z1jWiai<>2?ib$u_scViar0jFBW@6nUR_yhlK@LyT!185 zNpC8MAWOD9kzegF#*_^9`FEO%u;O)C&t8_YiPgX$L%z?3i*#X@%4VCLx_%dbuJ(d4 zRdz;@~f$bNG(-d4IireAnKU)T}1szH%K z@qlJARDM=2NRvPR{@lgIfp`p?d$z8(+`gAY_+E6oZ2mO-SMa~Kr=Ifsl$=NCEdI;N ztY?orwwhC->oVt_kf%J(NhtT4@*mFm9A4o}SuXsEgPbVs6Gk+=eP(@)ww^Klns6BJ zVMo2DlFajUP<7vdb9_XLm)~mFX2?))hA$z$77m;K00kd0d93mCzth1hidY%U|Fzn9 z_$Q#|X~0NiwmM!*JC^SQP{vTb2!apz*%we9D~@d#sP}IYe1E=Er6d0PMeOL`mqa=h zl*MKPUyN|Y`Ot8Mt-J!0N$IMs)f&rc)Nc7ISv#C`B16IJAB1CxZi-hX-?8j|$%39! z8U3Zl3!s5;9O3?>jOc(K$)n2u?ic^#b$F64u@+w;N1W06uaECd2AY2LW434&8-BQX zB8rEYc=7*WoUo{nN9}dWf$Ljr=3kd5fOrl*pCB;xWDhnhP!$vS*G@ob4mlCSylzbe z$veYzHZjk#z*I0VfSH=XD6B$&SsL;DBx21*WuLSPs#Cko5A`{Ag9L-7egi6Jz9m{n}f zW(~}o0n(#$ubJxzvYunCNB_=3s$E|9FcN~rP$%cJzE`WN#2HJfjt@^Wc;qOeBKLH- zdb*#?Q#C)xE->I93z49l?&lC`rnnHhg_q|kkK>0|YfG+BrKQsT_pCShFWnK}_zgAwghtIt$Zlu}EsU|SaW_6dxwWzod-2WBSd zN7Sv=T0WDow+U$c7Ys3@>zzJRsEM5zaRae1Tzm)y8gXtd86oQvjFZvx9}2VH*60r=JcaX4*1X>zE#fX{KwAZW#UFjq=@8R$Nvj<8vlMc*-b@C1ls?z zl}}=QquqlZ620F4Ha)jyn8syHq({_a!BC)rUiYorx6gJCnq<=i{@2EFk(h_{S6_S~f0)F{k< zHDTPhj(10jEXz5OFi5QX7-fsgj3bBh-+Sp|;ivT=*8exc>EvB+iQWfVM)SF@ zu?EPks6ejaM-)^+ak?jnGL@e^m%Cxe|DoG~E^gU6n9S9rzVj)yCu0JVrzUhyH~Q)Q zm9A+s#@f!#y0~gHGiUl|pf57G|K7w3^PO3d>)OvHY-Pyd*h=Sf^NnQm{M8kQmyG73 z8i3$&+Wk7iesZ@ry3vUr5Y^t|Iu_D1G4Dz4y1MTZ>v?u5#`fy=Mlg&e3CEZ62OD!= zSL{#YD9-Sdp5hwWm%BFrPuVt47nsuz{J4j^C?wo6K8#A);0a3GELDX0_$q-lNwE8k zdwxiQjZINIKCWxqqDd7l=Bsor4EpDPCNv!RxKQ=Sg1>ngw&`D*7%vjSFZtF3Q3%nZ zevFbf8Nu&u>mUp4Kp=d#TYYN0ufS3ba2GxfN>XR>sLE%e#N~~M)AqXY zDxu`p3JXMG{pQTWY;XZjho{BG;quCIO8E}CGz12T7$OmhRp=N)o zus{Hx)$$B`?^Jr%;&ck zTi)8julc+T?H<{01Zl|5yrST`#zzdBwPv3Ad2Zeo%Zi2Z>Aj9FPOcbQ>uvLs-=bJ4 z&Vtnb4l4Y_TtC0KV=Tuq>Dgigwd5VFdJghX;Gvf3>s!nX4ggGx@v1xyu6tf3{)GwS+n}JhE)vYFQ)t0!NtnGkezTSpr|X zR^<8&`{6*RAmyuzXLA1ckR~pd!N;SGmn4(Mx0RCuMR6@M4MPWsyz&XI_&udmNqzmd1meoZ)N zhANhGU%=L7TKMlV%!c%Gcytmigfr{|tG z7_9@YdiT1SW7sSD`MAK~*XF|)IDw0C*>W^uCMK`ffVL*c?qBy(rT3e+gkFy%3Azg$ zA0O*56S|N&@+2>)r{oC>?Z7Q8QE{o`cN(^@JmKS&okjJx-q#DP)XpoUd46tHU~a z&qJ=Q_5Bc-Hc!QA`812#+>>@g{@PUdbmXd?eUv|l`_9;-1?59qeRb^-xitGBOCfdR zy{`yd-CT=%!C=|wh)crrmpCYRK|=++fnroYbFL?>H`msD=HAiZU9p!L8XA6Usq_vU zXZM{oqMl^C)I8Z32;NE%i_*9bcuu38W){_JKnX=LsxIN47EBDR&yH^nFN2-ic7Njtw&Zs-eDnKAn}3#^xCnEz-s31qJ3y+nX`BsF%8&Ioe4 zV~^;1iRp+hb&Ep@_Re-{`nY*`g23AQ^A!tGc=Q?^=b_Wm)1zsS6^x@+T&jG094p~l zSU4oen0>yUI5$Te^8Q8p&`<>Q?$@thmBo2!X%xG4<{Tta)X~spg}jih1nSA}+{rR) z>%Nv=PXomS*uUV9I!%?MAi{%iO{E5I!m}?e*4ftrG8X4yYYDGf!jEpu|7=`yB@Y_% zE%c}YmnDPSh=)B_)+!esa-|an_ajWlNuna z1)LBPiYDqu-+ksR)F<&|(x7jKPNk#2F7(iSEEa2&rC;o^Mny%{shBpr5%8OR_X0e~F5?HME95Z?2<$_hl$B!_f0>3SC6TsZG0&SN2)etC zO}>ck&32G>oU?hSG`SW}&N>5Im5{51_7CS!8=lmG-@kvWIg=AGsK?+P=r=x=+IXYF zfi;{oGR+xsaJ%Z6dwzMVEdXidf4`m5RY%hsZkdo5nC^D!YKyf&r$xwm+Pbcm_l{op zZ3^);U4CTEv0K3BVfKR5am@6l!2qkt?+{4ySvdLIDtC(M6cb;Z|x9jS%($-M=+4Yox zc^Hs6Y;2D*6kdZ-QXd1Zg@6=H%vM`{tS`a$O8oi=uFPMmGVf32f0sBT)@f_7?y+>Y zqc@-qY}h`Qy+H~pya`Z=HoaVNO}akDyfCOeS2=0Ue_VW%g>_of^HY9%L^JgTj!)i!)mHd@x1d)Ra^9Dg>?iOqR@~Q>_ zBZ2tY-=1af7O&-*M{J4xHsjm8_nBtB-)g@XhO5;Xhbca7JFDuMl1*xdS+wv&f-GTo zk%zdkvW8p>gz{X;<}St%et%2~>G)?h(%W?flSX{P?i8tsm9;GLk7uW4t>qmF>$b&z zDaKLoYxxBRz9GEgc{5~(omp(|Vyn+@IdyxTf`i?K0JhaIn-tpFqWMHPU(&Y_O!TzP zOa!@kn~!6z3i<;&{T&*9Re*~He|`Qow}g#S(h1@1JC0)Ya$VawU&dhS^v^Fc#$YN0 zV0Qv$>a8LBzG_71V)A~F@%6%U@>bgpce0`P@y;b__iM|!30JFK8xo>%;@*r9ok<}o z3HT(#pn%7%&z```PU8GF{;1IkCm&g^(fww`Mt9~K7kl6{Oq|QJXg7oe5{;#N3pum* zUj+2|5lnB;NQ51|IpE7-e6ucVKHFF02~4pnt7aAi z!(jAeB>l0?;*qsB9a7Q7QtsWaYk&0)xFyCVAOTlNXUbj1#u#_rZz*HbWHdr>s!&ap zk6Z_9Cf7 zA{v=MbLj8$`(>_Vs0d}O5T&$tuihh%h{@f{kRS9a=J~I|`>wm}Kc%0eBwuc^5bBms zxqR9&hP9SMj(T-R_Y((vNi>VP$NAX-hlBW;70m)nb7imz?6<^F4KyQH?!Tmil38>W z7nvO{F`d7wT+E(fF)t|akr8}cL{0E&=G)<1^C%^BTBW2bJ3+yoID}cle8|)mJPCF| zxMl2P9D(lUhB`#3!VNOG#~UhL^*MJG|DC2v_#R2VE+HA_x8lv3Vqf*wCw@gd7H>Ev zVpayVueprhA2nh`?+MO(&WADx_b*TPn3w4)S`eYeu>7FsP%^bWJWW}Z*BV_b-=t%; z&MzXU-=|D6-$7{@WKC=dn@8sXUAfpKp9_yl)U8ObBVi)r!nIDNl_sk$ENE494%6-F z7|$K8k6X2v!1SSScy$Bsq5ir949@dM!{sb+y0Rq=l>>UptRwL& zDyLf`SnSKkXzIN|Y>$UV;ACZWL@E-xi3Y{P$C7GHsw_1yv8P#0q4_F#8F9Gto1?FT z$>gg!U?Ur16w6chJ!l^D2xZTjDldi~aEABqhW)=d7N}^^G^L^)sHHKv5}H}Gpo4eF z2PdM>rJTT*8_RO@SJL0b^fYC$9v{<~00~_l_MG02m>oK#9sP?fDNr+6Ce=|+w7nG>Bs^v9Cckz@6)6%%j@upLzE8E=aO4|hMy$T+jDfF`E zyTj9q;R64%`o_nCg&NpwX##0l0dKW9zi@7q7JUkajU=|ab@rRo=7~aDR3>;9r?%7L zHP4j$^SYsQmrZG>cR{)LHk@;9`2h-pB6* zD|^`(Rs&JJdL6vd$*O9f2wBqL_Y%>|jnfLvS)3e8bn` zz(A(`5!?3qZuyNpQOMN%l14BQ&5N&KFa65GF=qd|Fw>(@H8Sr@*}1KMoH#HJ*p#Mq zn?c#KFZ7R&Mia-DnQpnNe1^)mk6Qg7d*w{yFK=qKT;DqGS$Ja<^aq!gH)7GnA-T)S zRGqEF4^&-jB0c+!PXeF;N^Y`cz1@riJxQUcF3ZMy`KZqWZrq?uZ*Vv`chdB5#gl2M zki7LoW75w8l@l#IUFhXIu%EJ#pqFb3ZxFE%=X>~c{d@IJnlX9Fio0>5Fv+Q^Gcem1`gw^q=cPk5%hPuvT3>LbY3sW+IeGLPAQ1bQR0@~JeHK9Lk7 zcg0sK^Jrx$#J#bSPehSo-zJmIaw=t349?e#;wp%mOknERXnbF^8Q@F|Lio!@Sk{t< zJ2+=fc0TkMd3dT2zyR3j3rjou?mVO%b4{aTzD69AB8;&*j`~lki;FxoX;EftSdt{9 z!=MSWm1jOhU1p%k!7`Pb+)q#Hl)U2Hty`c8BEzP(Hd{uCkX8*d^?VhiqR$*^=2Om< zPsz87b*}vyj=1{ZAO~y?B9zikzNHXgy1-S@B2WhpIBTg(lgU&gYoiyJ)sZw*7q{hA ze`4D~Zlq*a3U$tD3#dutxe;I*xDvJ!oNis`^|o+OH!o;;>fvxD+{oGiee)fW`h@T! z>GKTktV}CH_w#V%{L=6@|EmSaZn`fP%oG0Lrt-@o%=J(JFNZ{DyNRX9?pLs+PK)Dn z(+M98dZRPZi!NSmnH|zKtNwb_F_U1|_7sr>q>3(lwlO5dw5Se)vbXG9@4=~|R20;& z69XSRr)8HPCG7Z>BBM?45iR$agqqU&5gOh$3CA@$d}7#N4APUBETz5THgry7i{olY z<0NUZbB_TGAe4!)t;nAYV?aX2)+S*spIr$aEon`ayNUnqXCs37tl5UG{Vl5UES^*? z_OYi_v3zh~?`>SiHorOdhzg|B;tZM>pM7zEEKVtX zvO{LgdxT~i)D2K4TjMKb3|2U)6HP$F!siFml0m@(LH` zpu#yVm#P06>B44mppBI%v;V75iSR4JNF>|J*P&F!va@uVc`X4A`eMk#A6!+tiCRX}}Xf{3@`Xoo0mkYU;M~feS4+${F z@6A3UKWq1W@&*XsPY~ScM-gXQemC`S#lyN>d;3h^(pBMwvr#8*9x>y8Z+-be^uq^~ zprD`(0c{rd_!kn%)l(!}Uo5m%_hgBsD%@JHyPEQ<(F*#4OB>1_UCTQ9@C|0Nf98Cp z)#6}YrGRh0gtJ5@rkt-L{r7fMJAo+G@Arau8@nyYYoZ79UcUAypSD6q+{ zAg4-i<>xABV%na3lXB7W40{-jUb~qzK0ba66e4{1juN9J!o`6)?9)I!UM$!4(gyhv zs5p2j`CW=GDXOLS_QRr3BJ{{w`wwa!qTswWXWtkt-{tmlK>Jef`6o6G$7`Pih8|3muZGC3rnA!~$4!{qnfd&Tpsw4Tn5IA39n-zO zlq(j9+{-<#4Oj5%RwLcQlXdMd+!dT(-YduY@N1WZBQwkSvBQiWmnI`uGGpTi^Q~L? zL&}Fu^a%l@*r!iq^$iW6JR!gaBBPcKy$lIK!^6kdYx8=9&AFrK1R9IUkEM~P8~)6^ zBBp_fr+@-@tgxt2p88*{A|prr{((zTyAH;$SMAPs1-QP6roZMtw{jwW-4H`}kQV%Q zR=T*l>~)&jZ2#+^41g*2@KT9PhxL?2)O2q(8JO#g1f6CzL66w=^c<96UvTzb3+{+{ zN{~U-$J|y`mDmrM8Xb|osuAVV|7nwTbA9m_Ndi{J(&dpOyFHR6sar9v!x9gHp!#~H zv&n$2O*JoDHqMWE?vd=j1w@;~Ok#M1ACIlDke=!E94=Win#gp2Oouw$FudE|tJVHI zkPRz7`%RY$OFYj9*B4#`&cv8UmK;!@#a_Vxl{kVr0+h$cm#6mZmpe^8O|IcaEb|}v zU?QyRhZxl|;!Q=GLbNwP{zhGWMd^6Qs#KkGGK=JKYZhF93SIv7bn@e9miY|cV3XA+ zQ4jDpR2Q}_=o2(~-@y7>SBEcqz)g0#*xsF>kQ-~;=(L0es3WS9t+{(G@vGTyFse<% zqi;is!biZib&AbCz3)^@+(2SKrK%WIwDTRtEMQ!CU%GU78=RP`$xoGq_O@9w1Umaf z*`PFly%&sTywFBD@p+~(q3lCSvjvD1mgzV#h&p}1M><{b0$~{gM4d@bL;AkKPTzu# zTt=Cc8QGk9zb7C4k9h5u+s9`cN_6rjLRq5>GkW0;W)ImRBRCP_k=2vr99NKdjm)ZpbfiaQ0 zY?&m}o#Y9xr$?~d1Y%mA8AMuZf2O8FJ5Wyj2Px%Q#S<+qM+dac;!m>n@=-w8?VKRc z?@W&ybpl#iMz1afB2+${jY+=1uqjXloP7p;=19>wjp|zRh~o8#aaWXiCS|d3->nx z9tt#!Tw2s~?Y|9={WhJz(U|&KNOF8%U1BiYgH}4l5y{i#v)`f)uk2+5T6gJfY)%8( z{zTQ&h)LxP`N>ca)3RgAfT#E*J#i@GdBV#%nbEJ)X?HEN8dxt;sHwih|CR+-Yn7lk z=%1QtoB~hxF^0O5)PJyYB^j3?f`Gc3wC2fRd6a1^{Z=c{iO)PQVJEKTPC-y~{>HCN zz*D2(KP!1=^)rXWR*+Upj??bW)OT-|8QwzNzAi~xMO^;{tR`C9-NnusDuoJ20E|&8 zpa8zk*R)9p;;nl8<4VVOIj}gE9;WAv-vpU#?5-iuh?#f zLNA*y+pn3`;E~4wV9Aw?F9A#d3gk4;7uf?S0Vm5v6Z3)+pC|ijc#x%aAg%y&|KxOl z28(u}c0Tc0BV9_wLkag!>XDy)?IX_@%2lQO!8==O=(W4tX2e{&EHdlZ zw<|B|xjy)*Mm{a@P!ePugi`Q~SKFE3rTEuhQ6F#>{B|-8?MaG7srn^;U0M+Uc%_TO z&nV6(1d9YnD5aS)N#fbm>Fsryt0=on6;wnc$-ABwJw>KnewT^tf@+OT#gmQAF|&f{ z4ZBVX)<*o!Sq{m5`>1o+wFUI|Mo9;s?ulQYLKTh!r6 zmZp!d>FGxhrvuE2P<+28F~wkLf7a=q6<_+fHz{t5>P692z8;uR@wm1C zK(V#_uz%1`M6fGU2cye2e<7URu9{L(M2+qW%E@tQdlfvL)J^*xrf(YZ5MbL|gS$Yf z$VdHU2MACQT)P(Vg3}Y;I8`H7?1egfCyUJhqtLqFfVjo75h@y46K0G}Gn0sb7QWB^ zW6KFmq*npk8K(3BxXb1Bb^(|#q-7ETk`GAhdbGEx0xjtEmga@8J9?`>fmQ;d_nO@L zhrZS0IVIfk&yz}VHXs+Os}m{%XmFfZo6#Dqr)2Bs{2g#J&~9R(fj`2hUlh`j}xncT|4x*c3G*kUk4it zMt6O;N66S99z<19H1ptx^0ztL;=0AP5n4xj32o=I0e1qd#FL7yFdmDt8d(#rl!U=N zK?7<|n**!uQzX}lEQ|5@no5*?o@aTy^ohva zR)|5F?g%LUEjGd?Pp4xCN8%9Gx=M$)A#yVZWnw^A;5rTo zD}#@LUIO89D4}s&NDa&H;tADDgxbgQ40okrou}@%0$RYArnOZhZaRVayDy&~FG++} z7)ZB`M6;nd{5fKwbt1L9_tGe^Wd$*t9wBikyV$~%pRoAN;*F+_Py3X(2u57>@y9w)YWX!Gw8b zm|y|A;OO|g_9>M#J{?Qu?gSh}cI@NTX(q;Gkx&XF4Agh|zhPVLIsI~W=3zg7fOfH( zqGsI+N4ERzV=B=70B}S%7kzwNuf?Cn(2X#oXUxt`BiDPR>EUS#V%0h9Q|E+3KR7;! zE4Tb%!Z?1UGQh|nw}jSDlU6vhc=lRU3<9STOK@rb`r=Q1){jzN2&9F77$dQRUuQc? z7ZK7Zy+hV!N+MeY)w}jHCG_i=Gn?A+FLjt_`N05^dSK03&(X)H-0Pp4ldt4m`>SVj z#^h_ArOl0*QrB7|T;R@N51@dPz&fL-r)2SYS6r{2uZ&;-d~8Fo zcxEvP*q;}O+1X(N2YXHLG;DgAy^t%P0C@P8!*guzzTX$I$ZdZmeCnKI7bToSe)>0i zID@DisD#{+HC>%f_+&S%rzcitiwlnV2(?6V{OP5YtnjX4NXrVmNN`o_X=_$L3k54n3 z`{r+T`gt5ft$(_D72GptM4 zW~7BMgqeV&B}Nql7v05Cq(dMJ9Mblj$CA_l=wgn=MBwl@Xi7~3iTi_;pTKGq^+&FFNejm)gs~}{aP+nq(K!ln^`eh*vdz8wpaCp5WuHs zx&nRR=gKHZ7IW1Djzyl9v)mw08T60J=SJzuf1(bu!{c&kM&3hFFY-4GAJ~7NFZ^8W z=nH?Kn+wQS%1h8ODJ@5Ci>s>q6iHAewC9bK^O%!*t->JRu@3*1f#VbdSz98)(j7nX zxk>gMr38E1JtsAl1RP!5nm>9@bni%4?x&<+X9-(M{G%2*stl*4`(m+}5m3@Yg^@!> z`;~ZqWG#a&wLb#ph9_P`|6Xc^sQ*dssIz0(;ympz48JYcfAr{))9J8PM(q^8@WId! zmRpMzIiptdTE#rH8OpDuG?(DFI>5Qm3Q~?s);vw&x5M7V5V<`kBiO-rvJRwi))>IWD ze5)6hxnjZsXsX_%QAb8bVw0M3`9Lun!<(BwalLxfrb;_uEO$~xY?V8}1+&&9;8F-R-98fbeGZ!onA>SFa z_nQ_M7W}fasZUQYisKtWHT3U9P9}qR2}y}JEyeR@TeU_@g@rf=4wZ{Kxdp$i~S-e@f)@+L4~tuSpi|AkFR`098Zi6VuVm2 z14e^-zxj|%{2K7LSGt0K{P=M=y>YzWe=#W77*3z%Jg{i#S|jUm#`WcthKGkoM8TGlKGS;g}AtcB;>)fn1$~j7vefq)^n|K(5ew1m;Yq_ zMjp4;+mT|Ft;tB>_gh7Cm%Uv_Dh0dRZhWOt>emQ@J9|pYH+_|UV48wmzI^gyS}(Dx zj-@I=GxZwayA=Li%exA1$z*Cc{|?VV0kig&yQ|5I?Sc}Vt1g+y>t)Q;)>Vz_7xjc6 zx(qAY*mzL;eID7AsG_wk+xu$ytG~b#qQ|3p!&Mg5dwSs~@XYTx|Oi-gdAJ$04Le2EiCA^n7mN>4nJvhBv4`zH=1f+-&G z`yn2)dilAa2jG7ppgxDsVP-6#{(vnR8}E0M^r&Zkq8bHq!M|rR)_GD7Qyl1w2hX#M zS zI_*PqT*Hpm3jB|bG532$ox}C7MIBd@2YDh(ehW!Lv3RaSnAHl=4p=*h#}IdRb~;F$ zp-Zdbf*4b~(!Ig#xp$!WgjtW&vw!{cTq`@?j*FCQVJ4VU)1j5hI6Xo+&GDdaKt{lPio)bQzts5+ZpXXF7%vFy;j_&dc)us~$ zCMw8RfNaW!f!t^GZBkAyW)H!eW!EGw8?WV<4aA&Ll$_BvYY9Bhe+(CWI)?l;NQl6s zNM3InAguoA;$Vh%=QK&8?Fe$$oGy`}t6d02Lql`?tE*r6)HRU2ZP0F??~_Ah_qdTD z3qW$Q%QR2qg?|;XD{aXkqZ@j|H!C%7w0(KYnH`wa&xurl@`*e`G1;o&lVTzPkZJC6aTO844t`v+oY z?>`A-@oN~932fPLhaBwVHmgF%3_0k4p`qiBC+1JetyUOkYQ>!<(}JY6lk z<_jO&D)F%rSWm9RA3uc5cEs&n#y!*1DGwU6=dUb5xlR~+j+mIu8kv1eFk{DKkSoE_ zB8BW5-lkgC@@}2@EdyveK=WLV&y9n= zf&Qj#a%h+v4>_zVX)pm2>DHsA_9@_75fYG~OLzZFd#=olyDM7s?aD4#x+cdp!~mAj z15qg-!SHT8gRjxG{`s(Yy#;ex_jFeK zr^_kjmYxYEE*(2~jvOn=R=#+|9t~%mvMUUQ29D6Y77_NM155L^I||Bf;CBGUDN}i- z%;u$u|W ze+-mu*%s{>*l-EoE*Ck~Bo{w$P>kTWdYzObr2B=sd29EqZgGJXan$MJU>STPBur!2 zjlp^+o9a0_iRt$Y<4@Fmo11ByS2W*>6Rm%)+qSxb&538w?=7SKg*V}cZ(D-h=D38y zJ3(%*U;-vklZZV~4(#n;*~>!Si9BJB-4*YF-ov;4*$gr-Aj>GOd{PTFrdu%C?gY7; zj2A+i9N4SXIT&2skS7trz`8!BUV zj_3(t4!sWda6fxRa@g0#>a_07svCY}ZNZ&#k!d=28+X5AcYjjy?XV+m0kh%0KyccF z^;y$JTM5PmU-7T^9r{oey;;sV%6ln)UdZ5JZ~N^`*VH*Wlso0?Nq}~Q!0?QBGl^ki z)heY6G)uI`HFa#2pk(PjuOMiLn^11GFsFu-vVe4W*P2VgsE^!JXi2NmGa%cje7rEzqO zXxwIT<_Ie#Wn%{`(D^&wa+x#T(Z(wV`GW$`U)TVSFS(u8mxvl|es+~`d=VW`Fu(5R zzZe)@Y{ztSXf5HW8rA8f3ms17C2}}jXuZFiN4XgsD8MdxQw9j-CmpNOal3N1=x69jaH#J&Tm%dYfnspzh`NS7$b*(<;t^EZ~Xifyw zdVb|5#i-RRjv@7BzzNLAe};$ZD@U`owX-KK(WuTTNN{P&er$U}@x9aC_FG1s;peZq ztv!n-LDDr|1Pi#f zfNI0fE~H=lK?Suju?piEW-d6>^@#gY=Ao}Y-5+@izq@b-c4+XA6sUnS6HuR5eE3`6 zyf5Q02h%TYmms8)DTjp8iucu4a|jTp)%OOoHQFTYk>C5Bc0b*Fph9B1xTngi+j&cN z_VzP3`XN{+5q%h+*F?e7=g1@9cT$^C1vLl_T$k-znE>1Z=~EHQ%u!6>am%)D0;mQR z3b&@Zl4EKMJqTJoKybJ8P$e5xHA{HEYgS>QlU~@H^lOy9^*zDE-m{Zc!~SD``gWXQ zNq_#cf#J{e`rm>-TR;$>^ViS2jJXgE-klSY{+X(JU5*6%1RW4fQ(S3z$~!*fgV8@FPT{3P@_ zgHvrb-%9pf`&ZP)$uazK?r+5nn_Nt(sXNd14q!+OlJzh&Uge;m#}+VcMoXOc+$3ut zpj!S-=ei*#^o)o|xaL#1d^E}^QCU=Eq^Ec=foPu6)%Z_wJM*}H-{E2TDf61U^fMAP z`8fZ8fQ|18RkYi#`x<2wYbT+USB@Opr*H_SpmJr!nkW=l`mH_V5^7T(^|bF7=bUVy zxVMBQcLoR?WAy0LC2S+=6M1~;Y>MCU4=-<}pE1k+ZenzJH>lnAhf^@{!~0}hxHG`a ztq+%k(J(Ni%sgZb4e`ll4zVVRwfsSGmkf+f~F#rUwm0->pMqUi}ofp5h5GVrE}XdYt=8Ho0@ULi>+#tAwsDB`sZ*8yx$L zzO0l~t6|004)eEfkvdnnk63WA-?kz7q{6&~9FQzhpdc4}EJ2Hs) zEcOa>j{CGidSSMKL|w$syo&bu(rP49sX~>l3epGZ>T7S-+7jD0DX!-H)%W$3OB{-c zo6oE4-DG}k=I|cu?X7HG(p{vMkhd+~{k<~rALEWpqQ{q$eh5Cn?Z-euxqKU`TG{{oN_g|Zs$%Vk>=6v-Rmg@ri_Q!;TY#S(5 za0@5$d!9I|L>VhqiDq1A#`=o$tfWNK=Q%^1x8+ANYHOMG*JiWI_X6Euia*`8-CpcV zqT7`tjjt-rRuTD*sbS`VUrU18WI}TCp3Y9hBpIX5>zP88sb?51&1uw%TagYjIk*`9 z&$sIsMQ!Rd7nXSHh_q?&%0CE-|C~uGlgVLa-*9;u6fLCqrihj)$e2R@1;~8B$lGu= za3V#6-DnJow$?W8ga)q2Q1f0K;;HXNjtit1?+Jfs#sMF!y}x^pV9F*uF*=Jo4=Qi38Lj8uZrEC{^E@1lH;-I1(Lkz6FZmFbjQZ`1X|C z^^~%_V)K&Ea%<;_lcs;i@-wuTSdS@i0wK(4**H0)e=#gx<0vTpRS#TA7Z=@bjyg0m7pNV5s?hZQs|rH7n*F z|AIbv(<6U#z_61!!?X|Q0LE5SL~zs<&oneL;w2f!r2E@EWr84i-m>T7qE~ksDJj{;Hf5eI8PbRGox;xbbCc9TykA zB|Frv)3+kjtZ{T_rt)gO5^S!(C4=WUDPd$1aaFca>i3dS$?EO+Gf*Ym@fWa6eSK+g zT3%1U)BeN(nF@SR^2NU=tO+OqT---?;Pxh)u}2s|livbE6PSQ#7dJii{ZmI<5@1pN zb_we3-7voY8Em6Nevav`n0S@LGc*>~Gx>2rlbZd)gEl;eOw0Fo2-_|O^M@7@+BR^! z+1Vnx)4;&yDEMQUq1smbYwF+~vD+qoelDj&gvR4Ox{KQb=<-}0{txMF6ZiDsF1>)R zm0b8VBZn7*sXAJyeCea6ReE}Q@G1rDYt_-=+*N~lLKN@+Mi6gdVvG0al`W0R3i?j- zK@;H=dl&RQ5dd`>hco-wFF`O_c0>ZCaFK`;W;(EgyGm#Gu>Hvft5Y^U_;+A4uLZ$M=X1dM_zhX`!;2^nSCyQJzHeSug;zCy z#PNf>Hk)%a5ObEPGL%M!=0bUjsFz5yfI0?sQvn}|)kVS%&rdJWIMrK#Nn8}m4m9zX z#T?*o&v^8wSr$x!EXiw-8STnDUx;uO{uoQ33vz~O2#xkvZMkON*M0*|Sx2N_+zRjq zZx>n`yiMkUTE6XJeY);Ny4m<^wcIpnVcfvslaelP&^KZYj$5)~27gGacGDM{eC1R= zKIz+dqC2~|YCr*??hcUq&1=jRPFZ(c@*T#^y%{Wl`+Q_^?s%HiRPXD`U^zA>+`&eh z7djt3fc62>?m~`0OD>VFIQ%V#@t^06a1Q3?XrZC#T=rXXQXQ|c<{L9ogtqhMlUxLc z-gvR!%dVp|w(YZ8UslYzCbIH*3NSFB>y`ajP6<%xuDBL}6}>U*$Q6#PEyEIEpz;$G zbHU_4D8~W_j5optY7^z2>U7>Hfx68Wfw1I%Ngm_(44|-ORo5|e3(x>fmJo=we-F_A zml8yPT>9;9nl=;pWUj)Qqt)f8+>?iC2?TaG%3wBVoTH@crnM6 zPJ&t!=W$XQ8GCmx7jcKAd5di0D0Z{ZD{E zUzsL4=afM*?S*J!E&1_3;rO`J_4r1NC=`?~sY*G=)@d>VElvvixiK(WUNhdFba!{V zY!IVQAOkdLHDI~)c^)VRU#CeXkA-gN;zA1I=2Z+W9_r7mEMW>P*zwu$cuwXd&2M`G z3uSQUS%4Jv$?U`^y9iYz;_eQw2Jo%`(qvpo1&C4YP95PLpPVRUV*;QSk&$8CU{ZzT zDG^(fMECk&uQDS)>GtT-Yn!exl2=nh$x{kiEoyQ)Q3B>y`gO|yY8)<=-5P?9VS7Ba zKOMtqRBBBoaF2}TlJfZ9G5IBl!0K^8A?W@j!I$jcpaNtA>T=tIxe|-1VV%K#EKt~M z33F%mH?=ARCd~@pBdQp$ThPRkMC>2mrqrO~ZIShNZ)W#J=sJA9blJUHxW!Io-RR9L zDZxY*0JbSWE(Ay`YSQFUweod(7u`;XV;WZp3elR6Cu&dN{tLj^1BU%W9qQq!h47+1vy;*_|aN_tma^; zp&m|?RYuHpAC_HiK7W%ktr;9eA-z6qJNe0v{BTVGs>@e#xdYcgEcFiL0f7X-jqcq{ z1>_o!$iyk57zfmLcBa_}DgsxALL;o$O~3P`U7(g8IjgSu2{}FE@2-{h)`Rh^1!2wY zv)i_duh7Q{r~AbJ2T@-E6=nB*Jv7qYEsfGhhk$gqq;!{bH;AO9f^>tV(w!qB4bm;r zCEed0-`{_IYsqq8X72OcIOp!O?>^@_27)r-#7dZruF?$b{;w~q4YH>CWz{fVpe5jc#<9XhVxm{F;T z-=7i8FD$g>J*A?nv_;n+%o=HL8*x6viLFMG)f@@vE%2Ok8C#!KWWKUoD zrYf127+@H^E+HV)KJ8H~^`=qMhBQP9S0Fd7Jk>kDV`8h;E3@U;I8=Cb9s#lzvLedF~`6bDcNmDO5 zDPK6vd5K_>w_laalxpG}Yo5;yBvsy?-_ce_SS(o%CT*^4`P>Dk|JpRF>o)1!3kW*; zdtR#FL3Q33fOquyk%rEdv# zHVvY^yf#CjF6fUp`Fz;_^Z;|4M}KUbFTASTb>G=2=DyOtw!VYR+E137D7$X$cxTA_ zK$7}`cW10>hVg!T$);f=+!Tiiv)6VMO&om9t-Zjeth(pnU@xhoVR)yznXN#;|K_cZ zRpBM+bMG5DCMugM^gYv&%iQur)bZk(P}AW1g_-q`t(EV#exO;Ghab#X*_F;MqKH1V5aHAV+PqMVQFH6)V*>T@i zD4dgmlcfyTD*gwhN2Tl05x|9`WbD!4qt{p}6aF@XQ}M($KiyUMyiYZ7?=`g!W#U*f zXyso;fi7=7-0hB>U-f?)nw>1{U7$`d)qcf=tTZ{sY?&C1M8c`;ws82m6JA`*=ZVQu zv)I1j{ucP3s?(>08k5g*(bY4Z7~B=10*ew63K;0)7@qX;WxX(26T$v^(R5w~+u2L` z&hekxCY<{F0=~7_st5Lw<9LF1tH}(=DXBV)7$teT;2 zHQKat6b0QF)R(}d5ni#5?g)B2Za|HSs6izqEm!4GRvkL-5G6?$u2S$*@1p@~fDHOg zED^=<%P!OEEa%x8Xxj8dsl_%`M) z*L?B4-@zmJ4arv_QO?&CB$;(<6D~ExwJR1O(>qwgC=f%k?C|Ne$iemC>7DLi+YIoh z&wG?1!<*f6Lr;CChx{fuW2@`=a{XNJbV|tmGIm|qE#M*wvUKyRS)>#LJZ3%PTqqbs zo{IS#owAsm9vZFmjU{xVWm%Aj!~o%5jv-@WT113-Xh)64KRDWGGNhd7`t+niz7kfEg8u zOtn$P$mxQ%`Tp(%U?JWe5A3unKep8pzdydcU&P6XI4rX6 z#QwWuFM(Ro%ZXZW{i`pLn!=Cc3qPkm$FBX-Mj~48W1QpPcP_o_p}qKG7Nu2&k!(-- zxi(O9YJ+-{?n@#qYy~H+WmYV_>nhkiq-ZlkTp9gQi;CqCE0&@^WUhG_+zvQX27NoV zlXmvc84vB4b1nNdw~K8$lRTeWB`5m%EtI1ZlaOB}(s5{qM5|sO^Ml;yK}~kD2*b+{ zn2P>lIeu-U7x@ZB6R{$H5*T?4>xRbakRIlskHAZU*!T#daJA&Z zP4UD2bYLN(-+YyFx`|7Bcal3kGECKbNbMW1=4OH|D(<)3Jo80s(A1;l)R%kSY+Tgt z)#dhL#ya|Cz!L~v2S??yrcRkJp+!ih>B2{XR!$x=HQg%1ClQj_T5%KCau>Kvw>ARF zj`CLiws~TQTPNOz%ogch!&Z8xEA|Oc{1-m&F^8Ukt-IlDfAIxJ!xN2tKQjFf!KwRr zo#BahP@>%<9{rw3nr&WI5x+MEvmNGE(daAD#zBQf*zFA>Uu?wvel}vaM^ts6 zymPDh(?Qk3O6X_onbSV(G20pNys>5K<6NY5y!)R`0i7g~oYAOHwwm+M6$ITN3kMk+|y)q7^l%jQ!{61m1oUL(aei`}`RT5t4(1!AyQ(L7(!ossjQ!gB62 z70lLo7u3s=Hh_PWdB#I_bEA2iQIO3<1bhL%Fg5DM=ep!D$@(4peD>=3Qtgco4am&& zlEAuOc8>Sdhdp!ZjmL}4M4k`}eCrRHJUpK7FMC-0VbijDdOh3Z`t-*T&sXkO&jv5l zWc>EUR!A>u<2j~2-JTS>b?)Q9y>RHqpLb)$i*72iD5!_!&C`95ct+$#jTdb(E|z5F z_kJs|MzM~4z>h9`v93t0X&$S!1?SeMRIC6=l}aeAx|3|*2vdh%E#jcJV+48lzOq)g zCu46=%KG?s9?#+yimE%*u>1_Y@*H=8>{~Zgom=};*zW07rI0FOm&*_XRZc+D#1C_6 zov3gX=AK6>P~@t9VbON0xH5~HrGoN-`F>tEn!eT0_S@LU=-T}8kq;FfzlrgyXVBA! zkU+LP2tw;US#a)B0Z5KP4gH@~(Q-U2oicCc;tmeCO?erZ&ieKWw>8E-fn?)VERe{N?^_&w3r@ZgF); zOrv-e$G~QXx#7FG!Rge-iL`%s`B!zL_&954!!m|@t-&D`#7SaKXEzLOw--UH z!)2JbuWsD*QBv!DPOV}SGLPrS3rHv_hiyo+oA)ZAy%!S0Mi1P}pvVdJyUXu^hk~;K zbB+;0@^)gg)#Nb&38GZkx*e=%d{hb0=b8#@DL8nl+YauYzkCaH;=~F_!B(5fD)`&% zrF7Fmebux4hNF_BFTJsoD5IZq42rmEmk--R26vACiW5f_yG~}$|Ma+U4Xl)j-dLF< zZxH=PkvO~)GH$kIbr!|0OS<=Y+*fa_!rp}HWZH-%`-(F4E__C2X$!@;(o*--)SOmJGQHMH-8KMKm-A}(-26?itr)Z9{<=UMqYMnKoY zR{iIm!(?jD4o0=#JpY=UU~XN)r7(sRfLCf|Nd?L#VJ`xd^}r=_AMR?6#!4+_S6bNV z3aM<2qL3X)FPrY`(eELlc^cKvWfcSXT2PqOD_H)-o2G{?t+c%OayF_GfO$6mw#q0E zU@{7>y+{8e=8G3QV;UxL2$g#^3Yr+r(x{n}VPXY>MVH~fOT51L>IJ;LQhkKit@g}s z-h7!-rYlL0^^m{rImam&h)Lb%hS7^aJZ@PL)!o4UbY^7!?9ckT*?z~77;~z^-SueD zfGl;uyPcgKpNK|xw1}wqg&6+G1S1BPvpdN=9K2|HI*D4IzPVfxemQlCxV|($G(>nq zA6BuP(c!uh8U?vRhxknnUSQz`U_u|S`>b=-e;oJJULK!9J=f+C7EDPf5=!GT*bd_O z7WePNm@o{noijTa|2RAl$G1mWV>|RNGg1n*AoqrPZWoqHmH0Is`v92Y*-j)B$Nfx? z>NDjj8e;FkVgp=b8V2SxpFliTuiC8M96w^tFw>kH# z`czh4eT)}Df+YS3>s%Cc=)U=K5lm51d^nJzOL!_GSQ#diuEZ#1;3uq8r61vDJ(|Du z7F)(Cw#$sG*U76ZeZ8)9ALCdHfz^O1`QSQMhVDadQiMVm= z{&TiIwnH^*Xw2Rh_sLOUb{w-=uWP9{Z&Gw|A{ij{#XVqYFnB1mgfEga6!XA^9!un> zS4zRNa6H37B?}C4UcquIVAk!Y<>&Up#To3Jj-QmHhi=E=aFj-2Un6crac5{Ey8k3^ z@Z)fCTlRTR+T4XqMfzE_OVH1w^yS25W0w7fy&g3tk!oNWkG$tvZ-l=K!;n6BTK6|{` z>+J55uz1edfWqfa0w>X4a#BNEug3Yahl4-EO>y6Ia2=@hB!@Cs-7(|cDroAzl=XDS zJNZ{uhAd>;gJ3NG;?IcD`?rU?y3xi7MfNVA_q4Ov#s&X$h*>qf5@mFkf30x^971gN z)X=-le)=+-J~J+zYU8-+3SESz`eJWJU*w0i)|LJU^elA~b7)ds1x>$iSQ>{(Jg7za zMX#35;Wi?MI3HQ7!8$Fgdo+J}vMB66Tc7nVFz82u4eD#=dvhX^s<@42gay|sF9HxN z44^}od*_yMx9xu%S1ysC{QR&j&V))kmu~ms#~hxp2o*N4jFWizRrK|0q?}3`T*ruf z3pR|ze&@31BVZrmn5m?ppQt*b+8iWV6qQNe|a%4y)s)tJLoN)+47u}1D6P>wVu7(jcd^4$}kLY2U0 za3qN$LbqQseClR@qA14;s`R`m9U}nW-7g4M5ZK47QsgQu)@1*&cRtc#^4dl%+jNEM zlNNGd%3nJR+b7SCt6AV8Wjjf5>%MO~<^?7_UiphP?h-|h9$of2H5M2eebCu__bTd1 zU=VX^dFgr3;DlMaPViBE81?tS2)w8ol0a9k?I1=;qQ+O6r9oxP>Zt(B^lrZ0Y+<1f z`^O^i^O7l7W!Ak(o5)9`7ppczFvqiZwubNJWX2;H!5a)u{H-Q2Tqk_khTpF1>+1L> zcsGxT2F=leB`Jj?tfticWmW$Mde`xeEFrBT=6%IzopR=jZcvW3S8=IEzw#Ib{@5pg zGcJ=;h+9&$B0TX`l&Lq4-0x;5WZJ@Db%|kaP_yOssFT`j$%EKIhs{4wyp0MQ85!B- zV*j;an>QSw^_ra+|IN{_LVbit_+5DQGA*?-t;MZ+Ad4U}sjUTP5U2jG@qi6I z?Hs;@U2FBk-L7rUGQmREsYvG!oT1ZsZ(jw%)JDJlxHAPTm*bHAilUZ+1NK7nMJyTFf zuLpJU^(8}=A}%g2?zynHv%_MO4qB6Bij^^+6@#&)<=PcAM2ZlIs3^6mjSZ?(W9C9h zT^*kNOqGuI8kw zn`&ByfX(@w{>hbpN?K|JWnx;i>$ zlLN|nwPr|-%Cx!?Mpb{rbXikpn{1z^{8+Eqo3^P3b-ot2&d=>lvop|ImnE6mp7+js z>=B)1#DsvFQ=q~R353e|PIiCpck<6Jj%eyX#RwRmUx@vfCR{z$Fu~m~oqkH!tO5@x<>S))d9eZ1-C>sMaW*od>@!o> z!?u%`XDKf)ZyMERC45-t5Q~V8j!vm=#IdvYFujxx^%kK5_VfF#;N8Q1{BW$IX{4Ty z;F^;dB-D)W+vfZ5+YvrKf#}SSk=}3}n4oF}2FHDET{uqNESLaup)B_5Ds3a9xZT~| zrdx2!E(y2h9~6r8z7_{|SIH6)f!WQ;S>R+yZRyUWEcq-~Js97z%Z++9NX*BjEbKC8 z6E^rq`9=tse3GnXF)FR%{2ZH%;Wl~HOuAvhQS4;cNCjhCz%-&)n)NXN!WyqcOT!Zx z9K9#r^NW#$4(;`3)!Q-C_k6s~D5muOYE9sL5xZWT`lB0HQm#P_IR9t1R5{cL#iSGg zTVz$S`nlCC{pIh?QrP-%j(uGG0!Y3J9FL!~kVHDKm%C>4u+WBEkRoYGeoxvux#r@w zgr*F~W#jT_y~VYlgYyP!RUA?=6c$a&RP1nA7zO{km9Y}9L5E>X*dq|(AvfNjWS2ois5XXCHhWP3*NrIF4iX-R~;n1$~5zO z{dhld_4pBcB8sC=xw#5*aQVRsU@Rocl-_YTzmD82KSp8%JhQimv0lKM|L@D&wbSd> zbKhn>t6{cOn^K)s{v}3t;~{@}F-f^UoVi6RPiVu#^@<5L$Se5_t637DVOp#ade26D zUP2O-oMSrTzT_H3{v8EUq?N3!0tCXz3#*-YcSOfMH97*I~tvjL`fb7oML_ zcYN)C>@9L=&V`By4|u566K|Y<2dypw6yR4I<1*>T!Yp5nCoDKI8$k@f=i6T2QKlLS zq$(7tv8LjnBErmO=u+D;I}oSG4m-AE#l@{S^U9ia2DHlw8z2Q3yaMpx7cx0ofro4o{XK@v^t zcAoq2$U(J0LQUXlD_GoMi{{TJf}~Z@kLeO`Hd%%zUjG|iB%6Buk!gN#kDxL62+ce- z)K5wSaq5II{h)VmNFpKSre-&b_Fy2z~VnM0fFJzqejx}i-pHrGwh*5(&AP%uk~@FC$F7T5k_(sZ~p zL`Kce4j%x-lKt0LwQUR9Xz8RW3+71ECKpmNZmCBqGRCd-6K^oI>Z9bSh=611+ByY0 z9OxrhFx{LO;68M>c)#gR1ITjVDT}ylvxQCBL4$wNT-z9)*dGngN*hi<6U}+G;%Bp} z*mra(MS~MQEn`W&@wJ;r2NkJHG(U$ta?3bVG>8TV0(w8Q7bl=wp4>ExqlC(W@n7$2 z*<@=R`Bm*L@63!W8P1}KR7WiaqWY^aC5l@RW(EFLQ+7a z4W=i%ZbNk3np8J1-~B`4|tMj2*3fLvPkehV~2FB*?gVDQ{jboO7%;UqhG|h z8LQbjy>5DaKkh39hzWYUC&b)y6RJ*)b!;EHxeE~S&LkNIo)q_aIOMu{^U;VmB^Xlctig>@B*OqJfg1sH{BA%nnL^C8W+*jN|EY=H6qG--z?HfvP={Lf&f?3WlJ-IgjS$jD%|ps^Q% z$Vy376`)dpI@XGZ!$$1W4EbYlxMhE6i_}eF0rM98g{%1ATKW9`|jW(6C)vjKD zd}apJV#kAY*&}Pl8_1vzBEnpsM%TkZmb$>ASW0TDDS%7}2na6ChAyp3G2Eqf$$21J zVPs+&Us#BF{~itan7ce{_{a~R4EaCcB*AG7egpA)oy<4ZQiV=T=JzsPVTlIebj{4Jzr@W#9oI|^qj)jW*^M2SM!jm+U_rPHN zH>oZTa`!*dHq|Po0T?DBBkSqvf+#2`0QS^vsjmSdHwD*m@8MZq-R&XE%CcXJ-fWX|PoN#)Y+WU;JO>!wj{07!WmPg3{8` zUe|SA%#1S=2#Z*of3g3y1{Xtra{mY_fXUTSv#M#iCZCv(@sNrP=m$ zb-$j}kgQgNlK}?Dfgfi0Q@j+$FWwOiex5)Q(R}o(ejGvXJR8#uC}VImu9$Q2B){Wr z9bc94C*dS%W0b0i!_YbE;8QrYyg*o3gj4qp))EYg`$WJD4E8P?%uc~8Ih~@GBm&sj zfZvj|%c|&K!8{B2?KdIpX2C1^YS=#d-`91L=)lK_x~CwBnh3VkM^*EX&TsT#&*{Pm z(EfdVqKD@^^r)^dK!j%w``JoLHxaHR8j|Qb6Zl$M@CtwDM!+&gJy;etup0-LNo{y9p(HDB|9W0*iC3gnU>z#o6rNNvXlSmmO{OnIP7LWF%4`*dN<*V z454c5)308=LTl3D?dH(6Zg8c4p3PPQm%}tOOhaFCS6p!%=Z_kA?uZ`f2)jXURsSK6 z;}Y3mUQjUOcv0t_5_Na;Zq-k|*uwrJ*8$9u%*;%emBS@LUo zU-5W@e}*lchHOyk2Os=+)3_4rqgws&n~C$25^nWUryoBMqUC2FrFY&CwzP7*a&WfM zOBfA0bW=~**>4M8p%5mjZO_k##}2q2Qa>8BAcKlOOp3n}HKAR`A3CZDruItM&xJXX z)4nSn9`dY{W}dfHNk%3C+j*rRPxK5EQ@wXFpjA99?Rlm-)#*h9I&O%Cn;VbkvYYBp zPebyy$e`QJ&M3!<($X#y(W^H<&8Y&n*{+-)XT0bdO59X4`T0xyRWUL5G&`6YmTEaM z!XAH7`ltq-uZG#^b&6Tt=0`MVUGBg0QH2~s#k2JBE>o~=l0Z0(lN74=lAS6}CV7Bs z$B^mVJoxM|I#G+0Ma<0X%L_ld0-Yzc^rJ?UJR6GQvHSAhiPriE-^ncJT>9MAX$b7j zLm(Qo*pEfE6dI=9;-S48P;%xOEZW$ zqX{fSyoY*usD4WuC*Y|iX72NG$+|xU?KUUqbP2#w!|xW-@Mp|9D^a9Fy#9iQN?|Yq z`W-!i$1u-7)dLd7*3@1tLG6?~p-F`@&u{_PrihmVjcSt%v2{BP#4YB6*^nH8Kh^nK zVv!;<&Y!cJf0mcX>>n6Zl_??Dots(+Y^+zOEan(HX9=-c;3nwPXopZVw(OrE%97p) zdl{a66=I;`SF0q5>O6EGd8DJaEvxdELXD1tnPVcxEr^r2dTkkvuDXKxP3{naSD!s} zE_7fp)E(~$i3VaQwbU)ASe-ps~KZ@{u-m~iK zHz|&S`tnqolTuMjzLJkLkVa)8fZZ`Y5(wJf`nU{AM4E!~xW~<-`+b^Qs(+d+(G!?( zB)=%MLqusUcJg2yPI?7S0omq9I59x{{=RS>Q5IM7`-F@+teKd$

r-N_z$th``@& zY2z>SuK-2x-+lDm)Z32*2Jy5Rn=GalzNl0iQ_u)c43&X7h`-Xd(RhxH)gUwnz?kZv z$D&8M3#6vNLNWY$?s)AFSE#`vZALb!L?^iKDUgNr4{#yWN~3*JO53wyh=WsxIWNh- z8PJV^;X!#Ci}iOXP6Cvk@A}M`wONn7?ii2kd;A9|fs^#uF&&U;K7 zrCQ!mT{8W*(DM3gk_hAz77kSG#M38V!Ffcx2~o-){X>6goL}cK2t|E|(YpHxQH!gg z{!5y*RAT+>K`A?z*Kd~)>*VMS7R9FNu43R|!zCZmyVeC%WpEG>H3P1R`s{48Nju`@ zn`W#IN0C2!V$EeV@T??^Yfl3ugMX)^D0`5O_Xoq)d!ap@@zo zt!S;_fW~wL$PH7L0KZL`9$m-+(e1~U4A#|0XBfXUIr_-|s{RU$bFtNnXVJ-dDzy+G zJRl8!`GsDa72nJ+3`@iI7-cW{)vGJ&N0Ik2)@BK<_ey(NP4*j1O?Jqdnwl}(xj$mw zhhE~85Np#DODk9-q;CY(`Ec$S~ zI<_?_rQdAud;MP+_JOzKe#Y|xBX(4R;TW8H%fNR)iYzU;6qRc|)Skcvo28;nTDeUn zvf`ksY3QVd=@iAIo#RW&5#8++jDM_t@*GReMAyz~Ui7N*E6_j}5b=xg&eQJj&=@zL z5AMF*hrY_JI_8h!* z1#C{k5h3f8D)1La%7SgS*qYuIot(ZOd_=^4zeW1}_SJgUU{d2$hwi@-&2AF3?EaL! zS2Lf*X;AD6eV<=56M&?ZEvL)aAJkq#WL8U}iN(PvLPrsFz zABp(!;5nrG^<9$`zDK9zYk6>-^^!F;pP=jD)vKl}Yr@hu#IzAC(;9mJzJe_%64>T1 zcF{B=$;rcmP%;_K(n>s8vtI1us>?_d-j}v(_HXRNpEY&JqjWqdO3l8Ie^gtP2NquR zZ?XT>r0M_5GAA}_Mnm{lO`GCN!0Oo*7kAd;%^9SoQs+roFiI3Osu8*P>$J3_9M(T{ z&|_z}W4kWQtnZk4|0fQT4-ei%&bXL ztRMQ8OkUH=Rdk=#EorJxK_nLR(-YR$d0ZJjRn`q?R|~D_3Afa8eQhM zzp(zHH#q{QMs)rv>~3Llt=ErNVbpyGm^b27K_X@g<||jo?)CLt3D@JDcjzFufUMzQ z*{Id!sLF_|+T*V8$=%x3NsXh2hsV`GLBc?rib;^T@!fdBKOAv+I3HnjaKM!i?Wr0) zPkfM#SI_ZFl)-m&Cp$EM2_N!~Vd5k787y8%Y~$zQ2@A1Dcyh_6L0~>zo;v4*^_nhR z68BDw8vfxJr`Gq}?)&E7kI<9r590b2tZcQWy^$ZG%rU9BP{7V^Tr4;z_$XZ#DdIwp zeeRlO)=s?D7t%*WwRLp?l=)$1xo8fq7~FIu_0zAsLJFis{M^{i4y8McHU$p?pvAFx zL02qPrgY3z>=AYP}8s!cGldJK(-%C5ePHp$T>$!QM?SQCWLfYAv90 zdpJ;$v#fh}5afHR?6B0rx68$t{A5N}hA!T3tNjv$2>Hme;2~Mr%ggV~onqtjgWf>u zD|BA9|B8q?l6Eu^y5!{*q40S0zT~y6E%aBF;QY<^#f17?zxHsaH#_^IY1QpS(Z@@I ziU828CXwQlANMDv($aTdxIxpLydt0fiU!P1CfEAy?i?szXV=kAbCt$UTd5wPN}|4| zIck3td${#_I7&%q4ba3N@y&k>W~BcRJc=-r$*~0x&Tpjdaz<1gzTsPu|AR_FOFkwa za3t$~7bN&j2pvuov0r^&;xe(mf=4A}SXGRfbes=m)h2pLPw>XU3{9*Itz+F1-S^%P zq^@3UDiB0pC!&%Z`(WIKKo*U~i;dvU$SS?t?JZs|qzpH3`O|(}S3oS@sCIbuU89R_ z^3U%Um=p0~TmIPO?fn3uFb_~S!GABUmYNdEFN&ZvTH=8&yUh}!pdBi2E*=iKqa!t( zcRW^9ta~d;)w>$6ZQ0~yM5@5x28}}srN*Ek;$p_*($mq3rS$0k=!&parChL4z~vn< z$1@5uc*Mi-59ML6c5$AP?^IliTRXO_8UTN>Gv$rdt4pPBZdnmp=XNN{=78TU+jXxD? zT>7f<_~%+TCzHwn>vK!f1z@iL)mU(oO4F(LaRCYnJT-wmJ*I+O|C!lwV^R_mtU_qL*SXH&bNVI}vXF zIlTtdTq48AB{l*yP~{u~<1LbtlL2uAfu99syEE4H+s?KgLLCiW+>dfNASI;h+h$Ah z2wC<%UJl&aQr;G+E=Qs?PE0fp{=L4xntHs={F0UPLkSSC1W8ED1xe^4(5W_P{=+8a z8XP$E%lpQA?rIHWNZQ)kAG5Ou&fH!0|739EcDP=$-ffRY@6F15-MZLqb2Ml$BFp~i zf&bR&$F9QdEOu2~@5ZTR4WEUWO_?SL{ldWZ__mEzp@B0{bvz2`Md#K&9`s7 zrlS^gfJ_8j*T;+uNg&MM<>nGwQj1>kEP289(6CFlSlOy=`x0zqT%$$AJkt#kE>~xp zhWw`LenDN~mncf$986ww|HB^;pwi^WsXils3%dEbnW_OtHSb?x0jR-2}oRFe5R*5E~&y1;`IcQv_i zph1<3jy@e9Pm#RSWr9z=iKvJx+a*PrPR6vJ;_GI62 zVhu=yfffoGBI3Hj2()$N`nwfCf)W9fYizXgckiC%8ddi{{HljQvSgxR!W7L;cu4L{ znrn6aPW|jcVHl9}1bpv@=lywDFq2kL)Pc6LG!t8LVOb^lit%8oS^~%zE(XZ7%AFz{ zK?^dKLSL4E{)siEF8)8m3bqbgmPpE_u@M-Az=t~XgL9)=jD}pez*q|wWhAXgKp}pVs>wh>^=HY> z@zCmeeh4bEUcp>^b*C>H?2Xgv&Y0@Xx2$C+LqKLN6IDW)G~Rd{OgC19G-`mdAh8Nuu1-N8OiM+bC+d|aTJd7*juB#oKrUy} zIu-@AJJR1Rq8LRce&LKi9gJKkAif3qe8dQ868`W#-fkuoO4=hq$mavfwUMP#?Osk^ zxIG6>G0@-V=hL9u>|eb2Gk{2F3~0SC2CiQEunVq4qOBpO#mLfZF?EulAh7a)SeJsT zvt|a~48j@cr2u`VDc>dQ@-|hPZqN>!K@n&HoEi~OiIzIO53H8IDYK^b*wr&S7!+%l z{|4%F)3xW+;gK-eB@iX$t+eCvjBKx1qeZ`Kjhg?lYJLc2@Ff_KZ+v!n>VqyXo2l~Vi@Jo`+c+z5|3JH16XfTzZ=N#_57zy~ z;%jn|z+)X{-3#0x<`-=TH_PfGUT=h1Q#3wj9;6Nv1L-Rmy4>zc8cf6mDl+a$73^%W zzb}C9QFivk?+7DaFkrnyd9fkwX7{6L7ECN3N91pMs}HWjWz~?UULlj&5s97ybVDw= z9+dOs_AdGMs9V0WC;K4>oLDOK=b~3<9~w`9{wz;}A%RpaJw|4{+!ydFxGxq@kWzHN zNKgMg2M>}wfm4dbUTq(lsa?EdT+VtOHWa_zORn)RT1g`@nyXAwfbZ_PBSF1?^^!JD z^tV}rLeIS@v179QMC%`wdo=ga$QoqF*Ask7*x#ypLw>a`1$u*-GeBt|hVnr`L@B*YH&3Y@?L22T#B1~st*!A9 z0j-1bNN-EL0fynjpK+Ou_gu1~OAWvg$C!yX=)NO-EMr3N3!D6FNE9RRuXKc?}xT4_u1U3AdB7LV1(Sc_w8tj~|f&zKYK0fi-s5 z8`Opg5wIn}x+TjwpzNM85hQf&WPs<<*P=EZy5Pt&-LS^%5YKGz$12*@2GUgXS#6{O zS;`BcN*KRJi{=xm)j}^RHv9T7`m`dcLSKR?i83Qmu;YSctauii@dDnQps=Y-LDIU! zo)F3Nh8hTe`nVZ3xxq(lya>yNOO6b#ug~|dnsX4|T?fW~ay0E`TfR+W8;>*tzZf3X zOxs3#i=KV7Nm!IJ$@%Om(_ZD#0592=M-w2UsK*!HJYv$!XGr&LI1f5HU48@6)@~{6 zVX@aX!q?e7>@x~55)fKOQ>D2lo8pbh!OS)wh#lc?pa(v76ycd7(EpKjZ1}^&@B^fb0Kbg!AI)> zrlWhSAJFCYz05?#@G<$w-UiKoCnK)PbHp9~2@3)Cf2x-#g$cG=9Eq%bLyW4QhfVK9 zf`2j$uVz*S=E>?^6V&CT7tI|mYJD1Fitv*#;%wjGd@C|>{eJR7YD11*}_ZaX%r zQjirqrBWQ<2e=k=B8vrb`{O+(u`nP|jsc)%Lx<{pl+n`mmDdF zS%OI*IcwjVxt%Qo3ph$~&Dw1lxDUvae6#Hl-#J6=)+ru1hTZUHT{2>8tl@rbsYAfe zDs6g4rbrlMzc3iEyg7i=I&z;<9 zQ#j#FhYR5#6~S!gGJgHj)2yq*j^Wp~)Pq#GD^c9%<=+x?nWqzxdWP!NVI%uw?ezH_ z$&mk+XDcWC<2}P>OfgYIQ0UKZEC(Bv zX|A(AAjX2veSH^>({pm|-|Qs0{Nzf+d+UeC;!+JBq{bYIMIrc>-hO7GBS5%tV$~uy zd+iD0`rSn0sAJ3)NYP_Sj{%s+dnb?bYL7UT5vb3-U3xc@;#C@5sFmyF9mfe>An{0n|(JEGfv6fTQif zX~0kAHDgSc!Ae%@w!ThDCV5qtg4HbiAP2uFtCF&N&q3WQf-Tj)gE~|9Z`cJFmZx^G zQ*ybNr%jp$C4nrf=>5l#tNZ?hlTXEbkYJdCQ2c&Jb{HR}az^1l9-2ltMB*yKl z2P+|-X%CJ!NLe%%xGZ)TC zuLU8~NtpQ&Q~fiW(xXHB_**^z4ghHE-F4sCju6u-|9$oA5c6s{;-SV;U8?rdndCD_ zP6InQvP=x6EC;pv}yA}?=N zn1CMGN%^MPept4bsBQ&-eV|(})fzO9OjjdRAPu~jWv38xBSmSb?vI^}b8fpSX$k?` ze0)ofnuxC>>HOJz;%L~=#{o1k2!PN%zN&Mici1A2GCg|-wiC3$kj5YC#n39TiK?iM zVhR-DmT_^b5E+L4@$lD636r)tLk%0wB zWJMUj7{TXA3FM{qidf>W`2xX`n;MB0r5q@8C!RNM-oijfZ%hBQe`IbtMuPo}>v9){WrOZVCjcm0^Ptj#i4FB-(DeDCG zKYbURQ)3Lg9cqW#&-;5}@q1C@t9hqmk~jA^07tFdA9|f0RxY;t@xO3d{2uTi9(WBc zolz}v1Uik*$NQtN^DnYF?~jtl9pR(tHc#P! zl$=%jZy^<_JqUnphC*N6pX-0#CR=}qh1%5i?w+jzhXuHX*>(5cv^OCkV0{btx1hjW zJc#%~^<)2hVN()^sq&Mn!#I#X%W=qEk_TecD(gcqDoKa+sfvg;llHYG@+gpPP7Eve z!AV$(wPQH~0y02h0Ax0R$*XJtqEZ5Y_n$IWQ9h0=e}s}e_XL5A)3Xy0O1rcdoGd#R z%u!LKFAAgeI&l1DRd!wU69OO=q{W=b`5{#4=;27zFg?DJ^;+Bj@dHQ}0+SB@fpli| zp;S_by*KBBt3kJXXF-e6!Uj~*pL`i~yMnCd!v|07uU404Ok2jo*bPK68m8a_p265p zq|v?e3G)XWjNUK_9gKuw49HdP5pv+w{Oh}eY{xe^5nPC6oxw!gRN0$w}69Nu(DV`bBfJbhqxynP62i*|n8ToQCPpiB6+H!ddZ z#0gmiTR2OCqtd{WUK}uT6e6Y&9E|X41}>FxK%W3ltP@2JT;AI?fwao=>3=KvB3^=) z=zr+2DeptpGpld2ro0QyTt(3XMXdCaRnQj(vnDiDHsu?v=zx!Ey5!0bD`2q0KJJd6 zT?_o_&^wME0OKaa%w1+t$Tc?36FWu7S;G>p!t#u1alu)>CuaP{xUNbbu)DbZrWt`w zrJ7z85MYAXDmU?6lf4YN&iK((&o&EG=ScRW@BQtfXSM`dC;t`iMzKkl+n-jhP3`_R zr*aQ9(u(11eN$E#^(||1i)kFVnVOP-kA~PJM)44)LYFF8rr->(RF#^RmMg6t+VSum z{xUERV|haR*ydM>yGnHc*^7<(8b?b7!H!G*Ah>8wf6R1Hl+v&>kn;Zi{tXE-cwTN- zo#hbV8P&A4BQ}T93qU2BySu>EPg0a5R5@r1?9UC5)m^;<`YaYMei9!=C=rMaEn9G} z?M_#))DIIwvLAeW9b<|>_?2C(@8tgnkaZx`ekW}F75u5u(>Zt?Z(neaCnImN^mvYb zz&>)qHpQ^ouFd`cMCY-lHt@2*zWz8*m5=9Q28k;X`Sk?1Xi^IbGO9nR0$bg{HCutH zxiQaD7+IVoopgat*3|5Z+J0iJ79g->W>&owHiALvf-)_;?-!z}s6Ux;5f{u)2j85P zCJR0O;hXk8RJ^2LqJnXm&z`76A-rvdho_ykK!k*RA@Q%p5Syu_N|ukoOn5jBGWSwM zxM=W)|LI_QkdZ+)H8qu6RK#!+O^Uq5VFG1y{v4w>p9lm3dMQ`w;d+j@1LF5&tp;Q@ zC7bxG!wr={+(Jl5i2kcVkL;VJ_d!pk2@Oc6UOibN-=M(VzrI-HbFYdBO5KV2NX?$I^mP z`$fHG*^-}H=w^n$lP4u9%ns1`Stexd4b-|VdAmRv9ZD-ATjbt*^EDvAtribQXXm+s zO=kqUsQP6h*fhz&R5)jWC~nXUdaqo+i0x$*dJ_3XcK#gjm%T99>;H!v>Hz#l$s8)uA>pQ?ZK9l9Lsr`}$s z$brKx6FhahECiUBQ;eM1i}0|DWK>s}6fal3U|iURwz3jhn8_$zsR>tl$QqK0eM7@fSAi)PsE&%zn(Nt_(mk zra^lxO&c8K-z-5~iHt)*>;o>5aI~DVnys}>_IWjw<5sQ};3)E0>}<}})h7rIzjR?B zo62!!1K`!7yN^QIfV+pGAXo-*b8j%z%eiNWaw$=9UH1QVcP8FYfBzpJDoa`VkjN*r zkdGx>O=M_G zrxHhFTHd%80P_K+YlsgL&9#5|xs3-cJzy7j8A`Nuy$50Jaln=$2>FI%o!B& zVsGoN3b6Vs%{p%rE z1#xQSDn$-8OkUBq(Tg2DEax#j>z1m2=An_CL5vllIxej#$sV%#Lxh@l)^b{^HDuEfH7Lgz)ZT}gcpJ1jUIW(dS4aD3lH$xTPRrfx9TN8#t4z%0du)JX&xZdmt|(~c-!a{AZiUHuFT6)AGozQ^IGWdl8fkMB7N(DiQG9L&&ZZcIO-Fs>Yh?YVW( zrh5)A*vb%OjzDMNN_ZhyEtq2+Djz02YcZ~=dpR~UH^IJYE21Gnt^8 zW8Byb;Lx10*7Jptvwxvxmf!Fh$ahv^Tp(bUPqp>= zO$OVj#=rTCA^R&`>Ax>zOokOo8}2+D+(jQb$Q`5wq5}V@UfG=dbqF{D`%DC1%w$i& zj^(*OxF{`Wb9-+u2m~Anm##nJQYGHMGTUo?M$O=CmR^GoPobMQ*cp%gA@}C{`Pe>Z zA&XO5Q~IZDbA2LoX-Ox-rEcx)Esi<+A-$zKeoaLDy5TM#mQoZ^I&jYH`zREh9#&E3 z>B##OrDQBRa8c!`h^VNOpVKMggNy3zVvNV=qap^U4(gZK9@2j-UfJJRIyB5*mPkPl z*VldAO3}LfOG*5_ub&LFBPlyeb|QH&VI=Bv#O@WV6?R0#F9FN z5Mqx9H;4hvJ1kAehB7qEVmwvaC64V7ptvP7mgHf}+mY2|Ki5(CEHl*B6)zx>F%S_Rt< zxjfYR<@5L#6)c@2#=Y|OQ*(4fg@>btGWvb&$IKzknT5*=sVGmoK7laHO*5D*Y_=g@ zeeL;+ioFfqT~$`pGOTK#hQmxhX)edD2&r%^^6cij72R1r&{C-y)>>&VOH|caJG&;& zsyEbK+j!l51*<<}-fC`^e4b_I{HfaSgjx7>vzX)pbLgIi$}Ja~%x+3L@j)C7Ka!Dl zH|l#+NF`?Tw#^gaaDdKpm}_0NyqUoP(Yr-lkU@_Rk>xEiH7Sve+b_bFw21}?7fUsp zh>Udb=gZG^MCf!f{H6xRC9wC0Zy1InZ;eZ5-OVcdooQZLq$ho6@ zohli@DLq~A4xXhM;G-ZU&`6X%j-9dQjpR|BDuJzn^gQ;JYsgX(2d$C5Aop%&?5 z@VIQ6;=cnZSkurW5FxnS@{~ww?cL%sK{t5cCaF<-J7jjgJ16PuYl)#6@0Kdovdh4l zjYVJ3o@2U6;S2NPm*!2X1;>QqhQ`J!k@bL`0Uzz1p}n%~oZ9lZq$m1>2nm-W@SM=FPfDU3N$xF4)^$_T|#@Z0O;jl=D2 z)I~DPt;iSU;bFL_!d&?8cZ5TlZ5YC|QT%9RWMo%TdheVkp@<#q0~$@I(@7mj5+S(3 zX$%SojaYOULJ1v=wk|I+;gpAEn~rcHf&g6UD0hd`w+xncPQ$XnZKalo)cfOSM5M4 zUkL>FBfeKRj}gs@9J=fr@L?UEpDJSmBn*m!#Ied5%`m&4q(-a|G^FMBKlwp*$m{_O z!&47xR_ntzy!bf{naQjVRNeWZmBbz+Tg6Q6KFrI@Blrh5WTkxEhDrF3)_t_zJI=7t z)~vD0d=CYbDb!{FAdG0LLZ$D_2kl{t@<+aeYt}rpVH*iaRe-(S=(z-jGB|v91dhRA zunQB@%dBpx*?xkTx3}()&8sBKXU2o|IV&>-$W?k(6qR&o?Nl!J&q^QJ{B+mI>+%*k zgB2amA}9M0P%_q39{#f>bjs1gdkJ+r2t!|-sc{J%qd9Lsf(&QdBaN%BVBQqY?cY!7 ziT}4{b2=fEl9A;w!FJ?%TF8(QLU>5rz-k?88(ke)x*GGSGVvKOY-sZ%MFyK`QyK?f zedc{xqkS=Arr-%XXE=D~!!b$ zKVnMFv zIN|c!gug7g{-oK?r4=5Es7(GB^(xJ@33nBLonR8`v+&>h*rZH?1u87kO0<+Wt-sk; zUL<~gvi%W>tvB9q55XWgD`CgT%2HZEdO%9>yxM*Dq?xJdn;i7U(wL;Aq+;eh!bgt~ z&Q>Vn5+08qZH;baV?{+pujMs5K-bofS5c6q=jdvylaGXlZBy?^2oBk(($$`z6!BJL z!qD?A=7smd#z@w_W}wV;Nd3F%(B6Z8V2JKs2t;tLc`r35mD4$P9k`w?X23V{twzrpef()%z`39K5 z8Uh9LOS5hP?CU}T0^n0`=jSeA zgQ3p_K66UHu#VE}lbq zO?l(3)O|Qs?EA!$_B+>~e#>wuxxv*nYN;b985a6GKWeXh`FrK}xR9s-;tA)qs$cb< zQ8=$cELU>yqiy2(A;zAw+69H*+3-550b4I%Bi*T9n={;}j$br(ABdw(3n@ZeK}M5% z*#)j5%j}h&yP%7P zXPNZ38Fa}P6F+T5l?2#UKk6^iF3K|0RAj&v&tA6Ot_>0ozz7z1$J{@ByNCbafy0<#(r#4_Wu_E~als&{O{ zJq;W={S71K{M{wjmMSG;@UC2(ORSCH&g6=jMyussntWJmEBZZAJ0$|q%K3&FYW6j5 z#-AM>xj=l8Iyl>t9J00$M`+L`VN!(W$7V?{moy-)$k;hc{u0P=R_@T2ys2HP$EAEx z>$tk}xa-cCWuKWpnF}?^Xcd`0k22@i9&TIVyN?4rr9)whCG*S>%xNno>tb|ZYt_p_>=8nl!{18cVo~kDJk6{DBay4(k0#9T>>KA-AMP)>>2$1clX-s z+WoNe1!mrP&wJuI_qp%;c_vU!<{dgJF)9QCLI3bxOaTIc&xJtXCLSY!Pa2$@t-&8e zJCP4ckHO33u|WU?LIL?8CamO?v^($YH0t2RbmwSkk?>Pmg306w+Yr8bM7C-2m)amQ z4aYx0UaY^9l&9kPTjC>ajJ~8k8{!|l99Y?kuOJxXZgK5)uyOb@h+5CuK|}iowTQ|# zmR3TM(Zb@%>miONp;2m!gq?%%h#@v8hl$9E8$TvyoeNW{70xf-)Phz6GCR)z@S^$f z7*`8I1zs7wgmQtfPx6@4aImql=Ucx^A+3q1B23{Y0;bLL8M4=+kg6r5XpCT#2 zZj_)!%Y|K!QTks40soo|YHI6#t9d;rn0EV{=s;f~5b?=D9dcGUf7tK*e8lfzG;E%| zd>az>l(46A6ecHgmFx4`@9k06Xf*79nya&WHS*+%QJ#TVq&}=C3D1#8NRARy@1D}j z7>Ugi(BMto{AobTi?N?V1|2iTAmn$T&9_E{xKiVuTeu+NHZ|GM6Um=G^lHNcGsO*D z-5xe#Ssrb<2;(|RtSt9FvKggKWV{B)KCMm|o`Y@`RIy-U~=2dtS(NlVHW6&9Qh>;V~xe>h0nJBQ>lf`vOD*342R5uHEPC2C6#>&?TWQs4!{ zK@bQSU(~)3`!_!Rb+1RPydFZ3@pj+RgaItcQGG?445Ehy>j$!W4Ss3WU~gNacrXPP>YFdOJk)RBE!%VH z)Tmlo&|nEArC`Xb6SI_;N2J6)@*fWxzHlUjSb8xGVY^J(=7iOQ#)gL3jlRvCOCm3)WyYyvhJ18`5sT(DlAZ0KHW zy^1(J*GunzWG9PBzwst@FXr;HH0uL1O|))CC7!nP8n(gH0a>}cK=^-=D4N9Sy?OkO zOjtf*X?kKJ$jl!T0rK|UJEICGG3;d;H?S6X6;3vR(DT66o;O@vKUznyE+1}{cw=H> zqFQCj4rw*5S{Z7?)TvU()uhePcnC#>i0XXQ_4TKaxq%yG!3&DEmsIr+yN*VD-tqqS zS8p#I~+q)l9)CU&*7fxc=A+uH% zj`Ozs8=t8XFdn|no$T@iO z1wARwcIrwv$lI6CZC(?|S8~YwtALrk#<9=~ew>F}HtX|ItM@ zZLcI&5%>Tz5XdyqbRZ)P^xcxxiL}Z+!@C&euLcVg#O#A^$-U(Z8YTxy-FJ zB~wa=8o zN4UJa#NIPvCRtIV&oFtwzXXIose=Be_OBtWECPPp4f`_IfdSd!us&{a7}d-k&6u_L ziBZ8pXz)<+%-H0~b0oB$?qpy~Klz6g` zydtlZLG&;#)TQoFl8d#iR~Kv1vWLn>uin0s{!tpzv20EKlMmh#f)j{nV)FOBr0hx9 z6fz|EGbvNcjqH$$%3FuupA?x8sgRT;1RfA1IwUM?<>3DAVCZo9og58IB%=dzTL>Bv z3yT2?V=_~P30{93L&xIX-XfLJLSrtJ^T+jy9BoGY!*;0u>y0VUdVkf$M4?C%5uF&f zwQ^B5y;nMGjuq^an@iKP>VEtRY!`>$VI|)QI(JpYSRXLd z9#X<*Z>VI8x^KMT@yvoKCFL0MUr2ie1%0l)E^bIu$kTIkiJSKo5!d}4F3I)`*72C* zyDRq_Og7g$ZkCD|2qbYFwKXvHwnebRb!YNbrTG!{m%vE&?9K>R=3#Nl2MEuIIX@P> zXBFT*@pT*;4j2x2$0^|TjQ7inT7wGx@mS?wDRSxj75x9#*UyIEkuP($+&(j~<%U3s z&zV|I>E^y}CZjM;@5=Q*Nvkx3gD8bfIg(H$Fv5aC;)DL`>c#Y*WVwZ1J5%CkZ6qZv z7y}vNfecghv`Tm)FRl^M~UAIW)S#ML~ z)nj0)wjU+^z@YKU!Nn! z1q4vTRwg6n#9y!xGyXS!YF_`}_MoS9Fu+L&@%{h+}CV|qk*DDkP;#}Wx^6C6n@ zdn(>}KeRU0j9`(qF4z`*z1jWiai<>2?ib$u_scViar0jFBW@6nUR_yhlK@LyT!185 zNpC8MAWOD9kzegF#*_^9`FEO%u;O)C&t8_YiPgX$L%z?3i*#X@%4VCLx_%dbuJ(d4 zRdz;@~f$bNG(-d4IireAnKU)T}1szH%K z@qlJARDM=2NRvPR{@lgIfp`p?d$z8(+`gAY_+E6oZ2mO-SMa~Kr=Ifsl$=NCEdI;N ztY?orwwhC->oVt_kf%J(NhtT4@*mFm9A4o}SuXsEgPbVs6Gk+=eP(@)ww^Klns6BJ zVMo2DlFajUP<7vdb9_XLm)~mFX2?))hA$z$77m;K00kd0d93mCzth1hidY%U|Fzn9 z_$Q#|X~0NiwmM!*JC^SQP{vTb2!apz*%we9D~@d#sP}IYe1E=Er6d0PMeOL`mqa=h zl*MKPUyN|Y`Ot8Mt-J!0N$IMs)f&rc)Nc7ISv#C`B16IJAB1CxZi-hX-?8j|$%39! z8U3Zl3!s5;9O3?>jOc(K$)n2u?ic^#b$F64u@+w;N1W06uaECd2AY2LW434&8-BQX zB8rEYc=7*WoUo{nN9}dWf$Ljr=3kd5fOrl*pCB;xWDhnhP!$vS*G@ob4mlCSylzbe z$veYzHZjk#z*I0VfSH=XD6B$&SsL;DBx21*WuLSPs#Cko5A`{Ag9L-7egi6Jz9m{n}f zW(~}o0n(#$ubJxzvYunCNB_=3s$E|9FcN~rP$%cJzE`WN#2HJfjt@^Wc;qOeBKLH- zdb*#?Q#C)xE->I93z49l?&lC`rnnHhg_q|kkK>0|YfG+BrKQsT_pCShFWnK}_zgAwghtIt$Zlu}EsU|SaW_6dxwWzod-2WBSd zN7Sv=T0WDow+U$c7Ys3@>zzJRsEM5zaRae1Tzm)y8gXtd86oQvjFZvx9}2VH*60r=JcaX4*1X>zE#fX{KwAZW#UFjq=@8R$Nvj<8vlMc*-b@C1ls?z zl}}=QquqlZ620F4Ha)jyn8syHq({_a!BC)rUiYorx6gJCnq<=i{@2EFk(h_{S6_S~f0)F{k< zHDTPhj(10jEXz5OFi5QX7-fsgj3bBh-+Sp|;ivT=*8exc>EvB+iQWfVM)SF@ zu?EPks6ejaM-)^+ak?jnGL@e^m%Cxe|DoG~E^gU6n9S9rzVj)yCu0JVrzUhyH~Q)Q zm9A+s#@f!#y0~gHGiUl|pf57G|K7w3^PO3d>)OvHY-Pyd*h=Sf^NnQm{M8kQmyG73 z8i3$&+Wk7iesZ@ry3vUr5Y^t|Iu_D1G4Dz4y1MTZ>v?u5#`fy=Mlg&e3CEZ62OD!= zSL{#YD9-Sdp5hwWm%BFrPuVt47nsuz{J4j^C?wo6K8#A);0a3GELDX0_$q-lNwE8k zdwxiQjZINIKCWxqqDd7l=Bsor4EpDPCNv!RxKQ=Sg1>ngw&`D*7%vjSFZtF3Q3%nZ zevFbf8Nu&u>mUp4Kp=d#TYYN0ufS3ba2GxfN>XR>sLE%e#N~~M)AqXY zDxu`p3JXMG{pQTWY;XZjho{BG;quCIO8E}CGz12T7$OmhRp=N)o zus{Hx)$$B`?^Jr%;&ck zTi)8julc+T?H<{01Zl|5yrST`#zzdBwPv3Ad2Zeo%Zi2Z>Aj9FPOcbQ>uvLs-=bJ4 z&Vtnb4l4Y_TtC0KV=Tuq>Dgigwd5VFdJghX;Gvf3>s!nX4ggGx@v1xyu6tf3{)GwS+n}JhE)vYFQ)t0!NtnGkezTSpr|X zR^<8&`{6*RAmyuzXLA1ckR~pd!N;SGmn4(Mx0RCuMR6@M4MPWsyz&XI_&udmNqzmd1meoZ)N zhANhGU%=L7TKMlV%!c%Gcytmigfr{|tG z7_9@YdiT1SW7sSD`MAK~*XF|)IDw0C*>W^uCMK`ffVL*c?qBy(rT3e+gkFy%3Azg$ zA0O*56S|N&@+2>)r{oC>?Z7Q8QE{o`cN(^@JmKS&okjJx-q#DP)XpoUd46tHU~a z&qJ=Q_5Bc-Hc!QA`812#+>>@g{@PUdbmXd?eUv|l`_9;-1?59qeRb^-xitGBOCfdR zy{`yd-CT=%!C=|wh)crrmpCYRK|=++fnroYbFL?>H`msD=HAiZU9p!L8XA6Usq_vU zXZM{oqMl^C)I8Z32;NE%i_*9bcuu38W){_JKnX=LsxIN47EBDR&yH^nFN2-ic7Njtw&Zs-eDnKAn}3#^xCnEz-s31qJ3y+nX`BsF%8&Ioe4 zV~^;1iRp+hb&Ep@_Re-{`nY*`g23AQ^A!tGc=Q?^=b_Wm)1zsS6^x@+T&jG094p~l zSU4oen0>yUI5$Te^8Q8p&`<>Q?$@thmBo2!X%xG4<{Tta)X~spg}jih1nSA}+{rR) z>%Nv=PXomS*uUV9I!%?MAi{%iO{E5I!m}?e*4ftrG8X4yYYDGf!jEpu|7=`yB@Y_% zE%c}YmnDPSh=)B_)+!esa-|an_ajWlNuna z1)LBPiYDqu-+ksR)F<&|(x7jKPNk#2F7(iSEEa2&rC;o^Mny%{shBpr5%8OR_X0e~F5?HME95Z?2<$_hl$B!_f0>3SC6TsZG0&SN2)etC zO}>ck&32G>oU?hSG`SW}&N>5Im5{51_7CS!8=lmG-@kvWIg=AGsK?+P=r=x=+IXYF zfi;{oGR+xsaJ%Z6dwzMVEdXidf4`m5RY%hsZkdo5nC^D!YKyf&r$xwm+Pbcm_l{op zZ3^);U4CTEv0K3BVfKR5am@6l!2qkt?+{4ySvdLIDtC(M6cb;Z|x9jS%($-M=+4Yox zc^Hs6Y;2D*6kdZ-QXd1Zg@6=H%vM`{tS`a$O8oi=uFPMmGVf32f0sBT)@f_7?y+>Y zqc@-qY}h`Qy+H~pya`Z=HoaVNO}akDyfCOeS2=0Ue_VW%g>_of^HY9%L^JgTj!)i!)mHd@x1d)Ra^9Dg>?iOqR@~Q>_ zBZ2tY-=1af7O&-*M{J4xHsjm8_nBtB-)g@XhO5;Xhbca7JFDuMl1*xdS+wv&f-GTo zk%zdkvW8p>gz{X;<}St%et%2~>G)?h(%W?flSX{P?i8tsm9;GLk7uW4t>qmF>$b&z zDaKLoYxxBRz9GEgc{5~(omp(|Vyn+@IdyxTf`i?K0JhaIn-tpFqWMHPU(&Y_O!TzP zOa!@kn~!6z3i<;&{T&*9Re*~He|`Qow}g#S(h1@1JC0)Ya$VawU&dhS^v^Fc#$YN0 zV0Qv$>a8LBzG_71V)A~F@%6%U@>bgpce0`P@y;b__iM|!30JFK8xo>%;@*r9ok<}o z3HT(#pn%7%&z```PU8GF{;1IkCm&g^(fww`Mt9~K7kl6{Oq|QJXg7oe5{;#N3pum* zUj+2|5lnB;NQ51|IpE7-e6ucVKHFF02~4pnt7aAi z!(jAeB>l0?;*qsB9a7Q7QtsWaYk&0)xFyCVAOTlNXUbj1#u#_rZz*HbWHdr>s!&ap zk6Z_9Cf7 zA{v=MbLj8$`(>_Vs0d}O5T&$tuihh%h{@f{kRS9a=J~I|`>wm}Kc%0eBwuc^5bBms zxqR9&hP9SMj(T-R_Y((vNi>VP$NAX-hlBW;70m)nb7imz?6<^F4KyQH?!Tmil38>W z7nvO{F`d7wT+E(fF)t|akr8}cL{0E&=G)<1^C%^BTBW2bJ3+yoID}cle8|)mJPCF| zxMl2P9D(lUhB`#3!VNOG#~UhL^*MJG|DC2v_#R2VE+HA_x8lv3Vqf*wCw@gd7H>Ev zVpayVueprhA2nh`?+MO(&WADx_b*TPn3w4)S`eYeu>7FsP%^bWJWW}Z*BV_b-=t%; z&MzXU-=|D6-$7{@WKC=dn@8sXUAfpKp9_yl)U8ObBVi)r!nIDNl_sk$ENE494%6-F z7|$K8k6X2v!1SSScy$Bsq5ir949@dM!{sb+y0Rq=l>>UptRwL& zDyLf`SnSKkXzIN|Y>$UV;ACZWL@E-xi3Y{P$C7GHsw_1yv8P#0q4_F#8F9Gto1?FT z$>gg!U?Ur16w6chJ!l^D2xZTjDldi~aEABqhW)=d7N}^^G^L^)sHHKv5}H}Gpo4eF z2PdM>rJTT*8_RO@SJL0b^fYC$9v{<~00~_l_MG02m>oK#9sP?fDNr+6Ce=|+w7nG>Bs^v9Cckz@6)6%%j@upLzE8E=aO4|hMy$T+jDfF`E zyTj9q;R64%`o_nCg&NpwX##0l0dKW9zi@7q7JUkajU=|ab@rRo=7~aDR3>;9r?%7L zHP4j$^SYsQmrZG>cR{)LHk@;9`2h-pB6* zD|^`(Rs&JJdL6vd$*O9f2wBqL_Y%>|jnfLvS)3e8bn` zz(A(`5!?3qZuyNpQOMN%l14BQ&5N&KFa65GF=qd|Fw>(@H8Sr@*}1KMoH#HJ*p#Mq zn?c#KFZ7R&Mia-DnQpnNe1^)mk6Qg7d*w{yFK=qKT;DqGS$Ja<^aq!gH)7GnA-T)S zRGqEF4^&-jB0c+!PXeF;N^Y`cz1@riJxQUcF3ZMy`KZqWZrq?uZ*Vv`chdB5#gl2M zki7LoW75w8l@l#IUFhXIu%EJ#pqFb3ZxFE%=X>~c{d@IJnlX9Fio0>5Fv+Q^Gcem1`gw^q=cPk5%hPuvT3>LbY3sW+IeGLPAQ1bQR0@~JeHK9Lk7 zcg0sK^Jrx$#J#bSPehSo-zJmIaw=t349?e#;wp%mOknERXnbF^8Q@F|Lio!@Sk{t< zJ2+=fc0TkMd3dT2zyR3j3rjou?mVO%b4{aTzD69AB8;&*j`~lki;FxoX;EftSdt{9 z!=MSWm1jOhU1p%k!7`Pb+)q#Hl)U2Hty`c8BEzP(Hd{uCkX8*d^?VhiqR$*^=2Om< zPsz87b*}vyj=1{ZAO~y?B9zikzNHXgy1-S@B2WhpIBTg(lgU&gYoiyJ)sZw*7q{hA ze`4D~Zlq*a3U$tD3#dutxe;I*xDvJ!oNis`^|o+OH!o;;>fvxD+{oGiee)fW`h@T! z>GKTktV}CH_w#V%{L=6@|EmSaZn`fP%oG0Lrt-@o%=J(JFNZ{DyNRX9?pLs+PK)Dn z(+M98dZRPZi!NSmnH|zKtNwb_F_U1|_7sr>q>3(lwlO5dw5Se)vbXG9@4=~|R20;& z69XSRr)8HPCG7Z>BBM?45iR$agqqU&5gOh$3CA@$d}7#N4APUBETz5THgry7i{olY z<0NUZbB_TGAe4!)t;nAYV?aX2)+S*spIr$aEon`ayNUnqXCs37tl5UG{Vl5UES^*? z_OYi_v3zh~?`>SiHorOdhzg|B;tZM>pM7zEEKVtX zvO{LgdxT~i)D2K4TjMKb3|2U)6HP$F!siFml0m@(LH` zpu#yVm#P06>B44mppBI%v;V75iSR4JNF>|J*P&F!va@uVc`X4A`eMk#A6!+tiCRX}}Xf{3@`Xoo0mkYU;M~feS4+${F z@6A3UKWq1W@&*XsPY~ScM-gXQemC`S#lyN>d;3h^(pBMwvr#8*9x>y8Z+-be^uq^~ zprD`(0c{rd_!kn%)l(!}Uo5m%_hgBsD%@JHyPEQ<(F*#4OB>1_UCTQ9@C|0Nf98Cp z)#6}YrGRh0gtJ5@rkt-L{r7fMJAo+G@Arau8@nyYYoZ79UcUAypSD6q+{ zAg4-i<>xABV%na3lXB7W40{-jUb~qzK0ba66e4{1juN9J!o`6)?9)I!UM$!4(gyhv zs5p2j`CW=GDXOLS_QRr3BJ{{w`wwa!qTswWXWtkt-{tmlK>Jef`6o6G$7`Pih8|3muZGC3rnA!~$4!{qnfd&Tpsw4Tn5IA39n-zO zlq(j9+{-<#4Oj5%RwLcQlXdMd+!dT(-YduY@N1WZBQwkSvBQiWmnI`uGGpTi^Q~L? zL&}Fu^a%l@*r!iq^$iW6JR!gaBBPcKy$lIK!^6kdYx8=9&AFrK1R9IUkEM~P8~)6^ zBBp_fr+@-@tgxt2p88*{A|prr{((zTyAH;$SMAPs1-QP6roZMtw{jwW-4H`}kQV%Q zR=T*l>~)&jZ2#+^41g*2@KT9PhxL?2)O2q(8JO#g1f6CzL66w=^c<96UvTzb3+{+{ zN{~U-$J|y`mDmrM8Xb|osuAVV|7nwTbA9m_Ndi{J(&dpOyFHR6sar9v!x9gHp!#~H zv&n$2O*JoDHqMWE?vd=j1w@;~Ok#M1ACIlDke=!E94=Win#gp2Oouw$FudE|tJVHI zkPRz7`%RY$OFYj9*B4#`&cv8UmK;!@#a_Vxl{kVr0+h$cm#6mZmpe^8O|IcaEb|}v zU?QyRhZxl|;!Q=GLbNwP{zhGWMd^6Qs#KkGGK=JKYZhF93SIv7bn@e9miY|cV3XA+ zQ4jDpR2Q}_=o2(~-@y7>SBEcqz)g0#*xsF>kQ-~;=(L0es3WS9t+{(G@vGTyFse<% zqi;is!biZib&AbCz3)^@+(2SKrK%WIwDTRtEMQ!CU%GU78=RP`$xoGq_O@9w1Umaf z*`PFly%&sTywFBD@p+~(q3lCSvjvD1mgzV#h&p}1M><{b0$~{gM4d@bL;AkKPTzu# zTt=Cc8QGk9zb7C4k9h5u+s9`cN_6rjLRq5>GkW0;W)ImRBRCP_k=2vr99NKdjm)ZpbfiaQ0 zY?&m}o#Y9xr$?~d1Y%mA8AMuZf2O8FJ5Wyj2Px%Q#S<+qM+dac;!m>n@=-w8?VKRc z?@W&ybpl#iMz1afB2+${jY+=1uqjXloP7p;=19>wjp|zRh~o8#aaWXiCS|d3->nx z9tt#!Tw2s~?Y|9={WhJz(U|&KNOF8%U1BiYgH}4l5y{i#v)`f)uk2+5T6gJfY)%8( z{zTQ&h)LxP`N>ca)3RgAfT#E*J#i@GdBV#%nbEJ)X?HEN8dxt;sHwih|CR+-Yn7lk z=%1QtoB~hxF^0O5)PJyYB^j3?f`Gc3wC2fRd6a1^{Z=c{iO)PQVJEKTPC-y~{>HCN zz*D2(KP!1=^)rXWR*+Upj??bW)OT-|8QwzNzAi~xMO^;{tR`C9-NnusDuoJ20E|&8 zpa8zk*R)9p;;nl8<4VVOIj}gE9;WAv-vpU#?5-iuh?#f zLNA*y+pn3`;E~4wV9Aw?F9A#d3gk4;7uf?S0Vm5v6Z3)+pC|ijc#x%aAg%y&|KxOl z28(u}c0Tc0BV9_wLkag!>XDy)?IX_@%2lQO!8==O=(W4tX2e{&EHdlZ zw<|B|xjy)*Mm{a@P!ePugi`Q~SKFE3rTEuhQ6F#>{B|-8?MaG7srn^;U0M+Uc%_TO z&nV6(1d9YnD5aS)N#fbm>Fsryt0=on6;wnc$-ABwJw>KnewT^tf@+OT#gmQAF|&f{ z4ZBVX)<*o!Sq{m5`>1o+wFUI|Mo9;s?ulQYLKTh!r6 zmZp!d>FGxhrvuE2P<+28F~wkLf7a=q6<_+fHz{t5>P692z8;uR@wm1C zK(V#_uz%1`M6fGU2cye2e<7URu9{L(M2+qW%E@tQdlfvL)J^*xrf(YZ5MbL|gS$Yf z$VdHU2MACQT)P(Vg3}Y;I8`H7?1egfCyUJhqtLqFfVjo75h@y46K0G}Gn0sb7QWB^ zW6KFmq*npk8K(3BxXb1Bb^(|#q-7ETk`GAhdbGEx0xjtEmga@8J9?`>fmQ;d_nO@L zhrZS0IVIfk&yz}VHXs+Os}m{%XmFfZo6#Dqr)2Bs{2g#J&~9R(fj`2hUlh`j}xncT|4x*c3G*kUk4it zMt6O;N66S99z<19H1ptx^0ztL;=0AP5n4xj32o=I0e1qd#FL7yFdmDt8d(#rl!U=N zK?7<|n**!uQzX}lEQ|5@no5*?o@aTy^ohva zR)|5F?g%LUEjGd?Pp4xCN8%9Gx=M$)A#yVZWnw^A;5rTo zD}#@LUIO89D4}s&NDa&H;tADDgxbgQ40okrou}@%0$RYArnOZhZaRVayDy&~FG++} z7)ZB`M6;nd{5fKwbt1L9_tGe^Wd$*t9wBikyV$~%pRoAN;*F+_Py3X(2u57>@y9w)YWX!Gw8b zm|y|A;OO|g_9>M#J{?Qu?gSh}cI@NTX(q;Gkx&XF4Agh|zhPVLIsI~W=3zg7fOfH( zqGsI+N4ERzV=B=70B}S%7kzwNuf?Cn(2X#oXUxt`BiDPR>EUS#V%0h9Q|E+3KR7;! zE4Tb%!Z?1UGQh|nw}jSDlU6vhc=lRU3<9STOK@rb`r=Q1){jzN2&9F77$dQRUuQc? z7ZK7Zy+hV!N+MeY)w}jHCG_i=Gn?A+FLjt_`N05^dSK03&(X)H-0Pp4ldt4m`>SVj z#^h_ArOl0*QrB7|T;R@N51@dPz&fL-r)2SYS6r{2uZ&;-d~8Fo zcxEvP*q;}O+1X(N2YXHLG;DgAy^t%P0C@P8!*guzzTX$I$ZdZmeCnKI7bToSe)>0i zID@DisD#{+HC>%f_+&S%rzcitiwlnV2(?6V{OP5YtnjX4NXrVmNN`o_X=_$L3k54n3 z`{r+T`gt5ft$(_D72GptM4 zW~7BMgqeV&B}Nql7v05Cq(dMJ9Mblj$CA_l=wgn=MBwl@Xi7~3iTi_;pTKGq^+&FFNejm)gs~}{aP+nq(K!ln^`eh*vdz8wpaCp5WuHs zx&nRR=gKHZ7IW1Djzyl9v)mw08T60J=SJzuf1(bu!{c&kM&3hFFY-4GAJ~7NFZ^8W z=nH?Kn+wQS%1h8ODJ@5Ci>s>q6iHAewC9bK^O%!*t->JRu@3*1f#VbdSz98)(j7nX zxk>gMr38E1JtsAl1RP!5nm>9@bni%4?x&<+X9-(M{G%2*stl*4`(m+}5m3@Yg^@!> z`;~ZqWG#a&wLb#ph9_P`|6Xc^sQ*dssIz0(;ympz48JYcfAr{))9J8PM(q^8@WId! zmRpMzIiptdTE#rH8OpDuG?(DFI>5Qm3Q~?s);vw&x5M7V5V<`kBiO-rvJRwi))>IWD ze5)6hxnjZsXsX_%QAb8bVw0M3`9Lun!<(BwalLxfrb;_uEO$~xY?V8}1+&&9;8F-R-98fbeGZ!onA>SFa z_nQ_M7W}fasZUQYisKtWHT3U9P9}qR2}y}JEyeR@TeU_@g@rf=4wZ{Kxdp$i~S-e@f)@+L4~tuSpi|AkFR`098Zi6VuVm2 z14e^-zxj|%{2K7LSGt0K{P=M=y>YzWe=#W77*3z%Jg{i#S|jUm#`WcthKGkoM8TGlKGS;g}AtcB;>)fn1$~j7vefq)^n|K(5ew1m;Yq_ zMjp4;+mT|Ft;tB>_gh7Cm%Uv_Dh0dRZhWOt>emQ@J9|pYH+_|UV48wmzI^gyS}(Dx zj-@I=GxZwayA=Li%exA1$z*Cc{|?VV0kig&yQ|5I?Sc}Vt1g+y>t)Q;)>Vz_7xjc6 zx(qAY*mzL;eID7AsG_wk+xu$ytG~b#qQ|3p!&Mg5dwSs~@XYTx|Oi-gdAJ$04Le2EiCA^n7mN>4nJvhBv4`zH=1f+-&G z`yn2)dilAa2jG7ppgxDsVP-6#{(vnR8}E0M^r&Zkq8bHq!M|rR)_GD7Qyl1w2hX#M zS zI_*PqT*Hpm3jB|bG532$ox}C7MIBd@2YDh(ehW!Lv3RaSnAHl=4p=*h#}IdRb~;F$ zp-Zdbf*4b~(!Ig#xp$!WgjtW&vw!{cTq`@?j*FCQVJ4VU)1j5hI6Xo+&GDdaKt{lPio)bQzts5+ZpXXF7%vFy;j_&dc)us~$ zCMw8RfNaW!f!t^GZBkAyW)H!eW!EGw8?WV<4aA&Ll$_BvYY9Bhe+(CWI)?l;NQl6s zNM3InAguoA;$Vh%=QK&8?Fe$$oGy`}t6d02Lql`?tE*r6)HRU2ZP0F??~_Ah_qdTD z3qW$Q%QR2qg?|;XD{aXkqZ@j|H!C%7w0(KYnH`wa&xurl@`*e`G1;o&lVTzPkZJC6aTO844t`v+oY z?>`A-@oN~932fPLhaBwVHmgF%3_0k4p`qiBC+1JetyUOkYQ>!<(}JY6lk z<_jO&D)F%rSWm9RA3uc5cEs&n#y!*1DGwU6=dUb5xlR~+j+mIu8kv1eFk{DKkSoE_ zB8BW5-lkgC@@}2@EdyveK=WLV&y9n= zf&Qj#a%h+v4>_zVX)pm2>DHsA_9@_75fYG~OLzZFd#=olyDM7s?aD4#x+cdp!~mAj z15qg-!SHT8gRjxG{`s(Yy#;ex_jFeK zr^_kjmYxYEE*(2~jvOn=R=#+|9t~%mvMUUQ29D6Y77_NM155L^I||Bf;CBGUDN}i- z%;u$u|W ze+-mu*%s{>*l-EoE*Ck~Bo{w$P>kTWdYzObr2B=sd29EqZgGJXan$MJU>STPBur!2 zjlp^+o9a0_iRt$Y<4@Fmo11ByS2W*>6Rm%)+qSxb&538w?=7SKg*V}cZ(D-h=D38y zJ3(%*U;-vklZZV~4(#n;*~>!Si9BJB-4*YF-ov;4*$gr-Aj>GOd{PTFrdu%C?gY7; zj2A+i9N4SXIT&2skS7trz`8!BUV zj_3(t4!sWda6fxRa@g0#>a_07svCY}ZNZ&#k!d=28+X5AcYjjy?XV+m0kh%0KyccF z^;y$JTM5PmU-7T^9r{oey;;sV%6ln)UdZ5JZ~N^`*VH*Wlso0?Nq}~Q!0?QBGl^ki z)heY6G)uI`HFa#2pk(PjuOMiLn^11GFsFu-vVe4W*P2VgsE^!JXi2NmGa%cje7rEzqO zXxwIT<_Ie#Wn%{`(D^&wa+x#T(Z(wV`GW$`U)TVSFS(u8mxvl|es+~`d=VW`Fu(5R zzZe)@Y{ztSXf5HW8rA8f3ms17C2}}jXuZFiN4XgsD8MdxQw9j-CmpNOal3N1=x69jaH#J&Tm%dYfnspzh`NS7$b*(<;t^EZ~Xifyw zdVb|5#i-RRjv@7BzzNLAe};$ZD@U`owX-KK(WuTTNN{P&er$U}@x9aC_FG1s;peZq ztv!n-LDDr|1Pi#f zfNI0fE~H=lK?Suju?piEW-d6>^@#gY=Ao}Y-5+@izq@b-c4+XA6sUnS6HuR5eE3`6 zyf5Q02h%TYmms8)DTjp8iucu4a|jTp)%OOoHQFTYk>C5Bc0b*Fph9B1xTngi+j&cN z_VzP3`XN{+5q%h+*F?e7=g1@9cT$^C1vLl_T$k-znE>1Z=~EHQ%u!6>am%)D0;mQR z3b&@Zl4EKMJqTJoKybJ8P$e5xHA{HEYgS>QlU~@H^lOy9^*zDE-m{Zc!~SD``gWXQ zNq_#cf#J{e`rm>-TR;$>^ViS2jJXgE-klSY{+X(JU5*6%1RW4fQ(S3z$~!*fgV8@FPT{3P@_ zgHvrb-%9pf`&ZP)$uazK?r+5nn_Nt(sXNd14q!+OlJzh&Uge;m#}+VcMoXOc+$3ut zpj!S-=ei*#^o)o|xaL#1d^E}^QCU=Eq^Ec=foPu6)%Z_wJM*}H-{E2TDf61U^fMAP z`8fZ8fQ|18RkYi#`x<2wYbT+USB@Opr*H_SpmJr!nkW=l`mH_V5^7T(^|bF7=bUVy zxVMBQcLoR?WAy0LC2S+=6M1~;Y>MCU4=-<}pE1k+ZenzJH>lnAhf^@{!~0}hxHG`a ztq+%k(J(Ni%sgZb4e`ll4zVVRwfsSGmkf+f~F#rUwm0->pMqUi}ofp5h5GVrE}XdYt=8Ho0@ULi>+#tAwsDB`sZ*8yx$L zzO0l~t6|004)eEfkvdnnk63WA-?kz7q{6&~9FQzhpdc4}EJ2Hs) zEcOa>j{CGidSSMKL|w$syo&bu(rP49sX~>l3epGZ>T7S-+7jD0DX!-H)%W$3OB{-c zo6oE4-DG}k=I|cu?X7HG(p{vMkhd+~{k<~rALEWpqQ{q$eh5Cn?Z-euxqKU`TG{{oN_g|Zs$%Vk>=6v-Rmg@ri_Q!;TY#S(5 za0@5$d!9I|L>VhqiDq1A#`=o$tfWNK=Q%^1x8+ANYHOMG*JiWI_X6Euia*`8-CpcV zqT7`tjjt-rRuTD*sbS`VUrU18WI}TCp3Y9hBpIX5>zP88sb?51&1uw%TagYjIk*`9 z&$sIsMQ!Rd7nXSHh_q?&%0CE-|C~uGlgVLa-*9;u6fLCqrihj)$e2R@1;~8B$lGu= za3V#6-DnJow$?W8ga)q2Q1f0K;;HXNjtit1?+Jfs#sMF!y}x^pV9F*uF*=Jo4=Qi38Lj8uZrEC{^E@1lH;-I1(Lkz6FZmFbjQZ`1X|C z^^~%_V)K&Ea%<;_lcs;i@-wuTSdS@i0wK(4**H0)e=#gx<0vTpRS#TA7Z=@bjyg0m7pNV5s?hZQs|rH7n*F z|AIbv(<6U#z_61!!?X|Q0LE5SL~zs<&oneL;w2f!r2E@EWr84i-m>T7qE~ksDJj{;Hf5eI8PbRGox;xbbCc9TykA zB|Frv)3+kjtZ{T_rt)gO5^S!(C4=WUDPd$1aaFca>i3dS$?EO+Gf*Ym@fWa6eSK+g zT3%1U)BeN(nF@SR^2NU=tO+OqT---?;Pxh)u}2s|livbE6PSQ#7dJii{ZmI<5@1pN zb_we3-7voY8Em6Nevav`n0S@LGc*>~Gx>2rlbZd)gEl;eOw0Fo2-_|O^M@7@+BR^! z+1Vnx)4;&yDEMQUq1smbYwF+~vD+qoelDj&gvR4Ox{KQb=<-}0{txMF6ZiDsF1>)R zm0b8VBZn7*sXAJyeCea6ReE}Q@G1rDYt_-=+*N~lLKN@+Mi6gdVvG0al`W0R3i?j- zK@;H=dl&RQ5dd`>hco-wFF`O_c0>ZCaFK`;W;(EgyGm#Gu>Hvft5Y^U_;+A4uLZ$M=X1dM_zhX`!;2^nSCyQJzHeSug;zCy z#PNf>Hk)%a5ObEPGL%M!=0bUjsFz5yfI0?sQvn}|)kVS%&rdJWIMrK#Nn8}m4m9zX z#T?*o&v^8wSr$x!EXiw-8STnDUx;uO{uoQ33vz~O2#xkvZMkON*M0*|Sx2N_+zRjq zZx>n`yiMkUTE6XJeY);Ny4m<^wcIpnVcfvslaelP&^KZYj$5)~27gGacGDM{eC1R= zKIz+dqC2~|YCr*??hcUq&1=jRPFZ(c@*T#^y%{Wl`+Q_^?s%HiRPXD`U^zA>+`&eh z7djt3fc62>?m~`0OD>VFIQ%V#@t^06a1Q3?XrZC#T=rXXQXQ|c<{L9ogtqhMlUxLc z-gvR!%dVp|w(YZ8UslYzCbIH*3NSFB>y`ajP6<%xuDBL}6}>U*$Q6#PEyEIEpz;$G zbHU_4D8~W_j5optY7^z2>U7>Hfx68Wfw1I%Ngm_(44|-ORo5|e3(x>fmJo=we-F_A zml8yPT>9;9nl=;pWUj)Qqt)f8+>?iC2?TaG%3wBVoTH@crnM6 zPJ&t!=W$XQ8GCmx7jcKAd5di0D0Z{ZD{E zUzsL4=afM*?S*J!E&1_3;rO`J_4r1NC=`?~sY*G=)@d>VElvvixiK(WUNhdFba!{V zY!IVQAOkdLHDI~)c^)VRU#CeXkA-gN;zA1I=2Z+W9_r7mEMW>P*zwu$cuwXd&2M`G z3uSQUS%4Jv$?U`^y9iYz;_eQw2Jo%`(qvpo1&C4YP95PLpPVRUV*;QSk&$8CU{ZzT zDG^(fMECk&uQDS)>GtT-Yn!exl2=nh$x{kiEoyQ)Q3B>y`gO|yY8)<=-5P?9VS7Ba zKOMtqRBBBoaF2}TlJfZ9G5IBl!0K^8A?W@j!I$jcpaNtA>T=tIxe|-1VV%K#EKt~M z33F%mH?=ARCd~@pBdQp$ThPRkMC>2mrqrO~ZIShNZ)W#J=sJA9blJUHxW!Io-RR9L zDZxY*0JbSWE(Ay`YSQFUweod(7u`;XV;WZp3elR6Cu&dN{tLj^1BU%W9qQq!h47+1vy;*_|aN_tma^; zp&m|?RYuHpAC_HiK7W%ktr;9eA-z6qJNe0v{BTVGs>@e#xdYcgEcFiL0f7X-jqcq{ z1>_o!$iyk57zfmLcBa_}DgsxALL;o$O~3P`U7(g8IjgSu2{}FE@2-{h)`Rh^1!2wY zv)i_duh7Q{r~AbJ2T@-E6=nB*Jv7qYEsfGhhk$gqq;!{bH;AO9f^>tV(w!qB4bm;r zCEed0-`{_IYsqq8X72OcIOp!O?>^@_27)r-#7dZruF?$b{;w~q4YH>CWz{fVpe5jc#<9XhVxm{F;T z-=7i8FD$g>J*A?nv_;n+%o=HL8*x6viLFMG)f@@vE%2Ok8C#!KWWKUoD zrYf127+@H^E+HV)KJ8H~^`=qMhBQP9S0Fd7Jk>kDV`8h;E3@U;I8=Cb9s#lzvLedF~`6bDcNmDO5 zDPK6vd5K_>w_laalxpG}Yo5;yBvsy?-_ce_SS(o%CT*^4`P>Dk|JpRF>o)1!3kW*; zdtR#FL3Q33fOquyk%rEdv# zHVvY^yf#CjF6fUp`Fz;_^Z;|4M}KUbFTASTb>G=2=DyOtw!VYR+E137D7$X$cxTA_ zK$7}`cW10>hVg!T$);f=+!Tiiv)6VMO&om9t-Zjeth(pnU@xhoVR)yznXN#;|K_cZ zRpBM+bMG5DCMugM^gYv&%iQur)bZk(P}AW1g_-q`t(EV#exO;Ghab#X*_F;MqKH1V5aHAV+PqMVQFH6)V*>T@i zD4dgmlcfyTD*gwhN2Tl05x|9`WbD!4qt{p}6aF@XQ}M($KiyUMyiYZ7?=`g!W#U*f zXyso;fi7=7-0hB>U-f?)nw>1{U7$`d)qcf=tTZ{sY?&C1M8c`;ws82m6JA`*=ZVQu zv)I1j{ucP3s?(>08k5g*(bY4Z7~B=10*ew63K;0)7@qX;WxX(26T$v^(R5w~+u2L` z&hekxCY<{F0=~7_st5Lw<9LF1tH}(=DXBV)7$teT;2 zHQKat6b0QF)R(}d5ni#5?g)B2Za|HSs6izqEm!4GRvkL-5G6?$u2S$*@1p@~fDHOg zED^=<%P!OEEa%x8Xxj8dsl_%`M) z*L?B4-@zmJ4arv_QO?&CB$;(<6D~ExwJR1O(>qwgC=f%k?C|Ne$iemC>7DLi+YIoh z&wG?1!<*f6Lr;CChx{fuW2@`=a{XNJbV|tmGIm|qE#M*wvUKyRS)>#LJZ3%PTqqbs zo{IS#owAsm9vZFmjU{xVWm%Aj!~o%5jv-@WT113-Xh)64KRDWGGNhd7`t+niz7kfEg8u zOtn$P$mxQ%`Tp(%U?JWe5A3unKep8pzdydcU&P6XI4rX6 z#QwWuFM(Ro%ZXZW{i`pLn!=Cc3qPkm$FBX-Mj~48W1QpPcP_o_p}qKG7Nu2&k!(-- zxi(O9YJ+-{?n@#qYy~H+WmYV_>nhkiq-ZlkTp9gQi;CqCE0&@^WUhG_+zvQX27NoV zlXmvc84vB4b1nNdw~K8$lRTeWB`5m%EtI1ZlaOB}(s5{qM5|sO^Ml;yK}~kD2*b+{ zn2P>lIeu-U7x@ZB6R{$H5*T?4>xRbakRIlskHAZU*!T#daJA&Z zP4UD2bYLN(-+YyFx`|7Bcal3kGECKbNbMW1=4OH|D(<)3Jo80s(A1;l)R%kSY+Tgt z)#dhL#ya|Cz!L~v2S??yrcRkJp+!ih>B2{XR!$x=HQg%1ClQj_T5%KCau>Kvw>ARF zj`CLiws~TQTPNOz%ogch!&Z8xEA|Oc{1-m&F^8Ukt-IlDfAIxJ!xN2tKQjFf!KwRr zo#BahP@>%<9{rw3nr&WI5x+MEvmNGE(daAD#zBQf*zFA>Uu?wvel}vaM^ts6 zymPDh(?Qk3O6X_onbSV(G20pNys>5K<6NY5y!)R`0i7g~oYAOHwwm+M6$ITN3kMk+|y)q7^l%jQ!{61m1oUL(aei`}`RT5t4(1!AyQ(L7(!ossjQ!gB62 z70lLo7u3s=Hh_PWdB#I_bEA2iQIO3<1bhL%Fg5DM=ep!D$@(4peD>=3Qtgco4am&& zlEAuOc8>Sdhdp!ZjmL}4M4k`}eCrRHJUpK7FMC-0VbijDdOh3Z`t-*T&sXkO&jv5l zWc>EUR!A>u<2j~2-JTS>b?)Q9y>RHqpLb)$i*72iD5!_!&C`95ct+$#jTdb(E|z5F z_kJs|MzM~4z>h9`v93t0X&$S!1?SeMRIC6=l}aeAx|3|*2vdh%E#jcJV+48lzOq)g zCu46=%KG?s9?#+yimE%*u>1_Y@*H=8>{~Zgom=};*zW07rI0FOm&*_XRZc+D#1C_6 zov3gX=AK6>P~@t9VbON0xH5~HrGoN-`F>tEn!eT0_S@LU=-T}8kq;FfzlrgyXVBA! zkU+LP2tw;US#a)B0Z5KP4gH@~(Q-U2oicCc;tmeCO?erZ&ieKWw>8E-fn?)VERe{N?^_&w3r@ZgF); zOrv-e$G~QXx#7FG!Rge-iL`%s`B!zL_&954!!m|@t-&D`#7SaKXEzLOw--UH z!)2JbuWsD*QBv!DPOV}SGLPrS3rHv_hiyo+oA)ZAy%!S0Mi1P}pvVdJyUXu^hk~;K zbB+;0@^)gg)#Nb&38GZkx*e=%d{hb0=b8#@DL8nl+YauYzkCaH;=~F_!B(5fD)`&% zrF7Fmebux4hNF_BFTJsoD5IZq42rmEmk--R26vACiW5f_yG~}$|Ma+U4Xl)j-dLF< zZxH=PkvO~)GH$kIbr!|0OS<=Y+*fa_!rp}HWZH-%`-(F4E__C2X$!@;(o*--)SOmJGQHMH-8KMKm-A}(-26?itr)Z9{<=UMqYMnKoY zR{iIm!(?jD4o0=#JpY=UU~XN)r7(sRfLCf|Nd?L#VJ`xd^}r=_AMR?6#!4+_S6bNV z3aM<2qL3X)FPrY`(eELlc^cKvWfcSXT2PqOD_H)-o2G{?t+c%OayF_GfO$6mw#q0E zU@{7>y+{8e=8G3QV;UxL2$g#^3Yr+r(x{n}VPXY>MVH~fOT51L>IJ;LQhkKit@g}s z-h7!-rYlL0^^m{rImam&h)Lb%hS7^aJZ@PL)!o4UbY^7!?9ckT*?z~77;~z^-SueD zfGl;uyPcgKpNK|xw1}wqg&6+G1S1BPvpdN=9K2|HI*D4IzPVfxemQlCxV|($G(>nq zA6BuP(c!uh8U?vRhxknnUSQz`U_u|S`>b=-e;oJJULK!9J=f+C7EDPf5=!GT*bd_O z7WePNm@o{noijTa|2RAl$G1mWV>|RNGg1n*AoqrPZWoqHmH0Is`v92Y*-j)B$Nfx? z>NDjj8e;FkVgp=b8V2SxpFliTuiC8M96w^tFw>kH# z`czh4eT)}Df+YS3>s%Cc=)U=K5lm51d^nJzOL!_GSQ#diuEZ#1;3uq8r61vDJ(|Du z7F)(Cw#$sG*U76ZeZ8)9ALCdHfz^O1`QSQMhVDadQiMVm= z{&TiIwnH^*Xw2Rh_sLOUb{w-=uWP9{Z&Gw|A{ij{#XVqYFnB1mgfEga6!XA^9!un> zS4zRNa6H37B?}C4UcquIVAk!Y<>&Up#To3Jj-QmHhi=E=aFj-2Un6crac5{Ey8k3^ z@Z)fCTlRTR+T4XqMfzE_OVH1w^yS25W0w7fy&g3tk!oNWkG$tvZ-l=K!;n6BTK6|{` z>+J55uz1edfWqfa0w>X4a#BNEug3Yahl4-EO>y6Ia2=@hB!@Cs-7(|cDroAzl=XDS zJNZ{uhAd>;gJ3NG;?IcD`?rU?y3xi7MfNVA_q4Ov#s&X$h*>qf5@mFkf30x^971gN z)X=-le)=+-J~J+zYU8-+3SESz`eJWJU*w0i)|LJU^elA~b7)ds1x>$iSQ>{(Jg7za zMX#35;Wi?MI3HQ7!8$Fgdo+J}vMB66Tc7nVFz82u4eD#=dvhX^s<@42gay|sF9HxN z44^}od*_yMx9xu%S1ysC{QR&j&V))kmu~ms#~hxp2o*N4jFWizRrK|0q?}3`T*ruf z3pR|ze&@31BVZrmn5m?ppQt*b+8iWV6qQNe|a%4y)s)tJLoN)+47u}1D6P>wVu7(jcd^4$}kLY2U0 za3qN$LbqQseClR@qA14;s`R`m9U}nW-7g4M5ZK47QsgQu)@1*&cRtc#^4dl%+jNEM zlNNGd%3nJR+b7SCt6AV8Wjjf5>%MO~<^?7_UiphP?h-|h9$of2H5M2eebCu__bTd1 zU=VX^dFgr3;DlMaPViBE81?tS2)w8ol0a9k?I1=;qQ+O6r9oxP>Zt(B^lrZ0Y+<1f z`^O^i^O7l7W!Ak(o5)9`7ppczFvqiZwubNJWX2;H!5a)u{H-Q2Tqk_khTpF1>+1L> zcsGxT2F=leB`Jj?tfticWmW$Mde`xeEFrBT=6%IzopR=jZcvW3S8=IEzw#Ib{@5pg zGcJ=;h+9&$B0TX`l&Lq4-0x;5WZJ@Db%|kaP_yOssFT`j$%EKIhs{4wyp0MQ85!B- zV*j;an>QSw^_ra+|IN{_LVbit_+5DQGA*?-t;MZ+Ad4U}sjUTP5U2jG@qi6I z?Hs;@U2FBk-L7rUGQmREsYvG!oT1ZsZ(jw%)JDJlxHAPTm*bHAilUZ+1NK7nMJyTFf zuLpJU^(8}=A}%g2?zynHv%_MO4qB6Bij^^+6@#&)<=PcAM2ZlIs3^6mjSZ?(W9C9h zT^*kNOqGuI8kw zn`&ByfX(@w{>hbpN?K|JWnx;i>$ zlLN|nwPr|-%Cx!?Mpb{rbXikpn{1z^{8+Eqo3^P3b-ot2&d=>lvop|ImnE6mp7+js z>=B)1#DsvFQ=q~R353e|PIiCpck<6Jj%eyX#RwRmUx@vfCR{z$Fu~m~oqkH!tO5@x<>S))d9eZ1-C>sMaW*od>@!o> z!?u%`XDKf)ZyMERC45-t5Q~V8j!vm=#IdvYFujxx^%kK5_VfF#;N8Q1{BW$IX{4Ty z;F^;dB-D)W+vfZ5+YvrKf#}SSk=}3}n4oF}2FHDET{uqNESLaup)B_5Ds3a9xZT~| zrdx2!E(y2h9~6r8z7_{|SIH6)f!WQ;S>R+yZRyUWEcq-~Js97z%Z++9NX*BjEbKC8 z6E^rq`9=tse3GnXF)FR%{2ZH%;Wl~HOuAvhQS4;cNCjhCz%-&)n)NXN!WyqcOT!Zx z9K9#r^NW#$4(;`3)!Q-C_k6s~D5muOYE9sL5xZWT`lB0HQm#P_IR9t1R5{cL#iSGg zTVz$S`nlCC{pIh?QrP-%j(uGG0!Y3J9FL!~kVHDKm%C>4u+WBEkRoYGeoxvux#r@w zgr*F~W#jT_y~VYlgYyP!RUA?=6c$a&RP1nA7zO{km9Y}9L5E>X*dq|(AvfNjWS2ois5XXCHhWP3*NrIF4iX-R~;n1$~5zO z{dhld_4pBcB8sC=xw#5*aQVRsU@Rocl-_YTzmD82KSp8%JhQimv0lKM|L@D&wbSd> zbKhn>t6{cOn^K)s{v}3t;~{@}F-f^UoVi6RPiVu#^@<5L$Se5_t637DVOp#ade26D zUP2O-oMSrTzT_H3{v8EUq?N3!0tCXz3#*-YcSOfMH97*I~tvjL`fb7oML_ zcYN)C>@9L=&V`By4|u566K|Y<2dypw6yR4I<1*>T!Yp5nCoDKI8$k@f=i6T2QKlLS zq$(7tv8LjnBErmO=u+D;I}oSG4m-AE#l@{S^U9ia2DHlw8z2Q3yaMpx7cx0ofro4o{XK@v^t zcAoq2$U(J0LQUXlD_GoMi{{TJf}~Z@kLeO`Hd%%zUjG|iB%6Buk!gN#kDxL62+ce- z)K5wSaq5II{h)VmNFpKSre-&b_Fy2z~VnM0fFJzqejx}i-pHrGwh*5(&AP%uk~@FC$F7T5k_(sZ~p zL`Kce4j%x-lKt0LwQUR9Xz8RW3+71ECKpmNZmCBqGRCd-6K^oI>Z9bSh=611+ByY0 z9OxrhFx{LO;68M>c)#gR1ITjVDT}ylvxQCBL4$wNT-z9)*dGngN*hi<6U}+G;%Bp} z*mra(MS~MQEn`W&@wJ;r2NkJHG(U$ta?3bVG>8TV0(w8Q7bl=wp4>ExqlC(W@n7$2 z*<@=R`Bm*L@63!W8P1}KR7WiaqWY^aC5l@RW(EFLQ+7a z4W=i%ZbNk3np8J1-~B`4|tMj2*3fLvPkehV~2FB*?gVDQ{jboO7%;UqhG|h z8LQbjy>5DaKkh39hzWYUC&b)y6RJ*)b!;EHxeE~S&LkNIo)q_aIOMu{^U;VmB^Xlctig>@B*OqJfg1sH{BA%nnL^C8W+*jN|EY=H6qG--z?HfvP={Lf&f?3WlJ-IgjS$jD%|ps^Q% z$Vy376`)dpI@XGZ!$$1W4EbYlxMhE6i_}eF0rM98g{%1ATKW9`|jW(6C)vjKD zd}apJV#kAY*&}Pl8_1vzBEnpsM%TkZmb$>ASW0TDDS%7}2na6ChAyp3G2Eqf$$21J zVPs+&Us#BF{~itan7ce{_{a~R4EaCcB*AG7egpA)oy<4ZQiV=T=JzsPVTlIebj{4Jzr@W#9oI|^qj)jW*^M2SM!jm+U_rPHN zH>oZTa`!*dHq|Po0T?DBBkSqvf+#2`0QS^vsjmSdHwD*m@8MZq-R&XE%CcXJ-fWX|PoN#)Y+WU;JO>!wj{07!WmPg3{8` zUe|SA%#1S=2#Z*of3g3y1{Xtra{mY_fXUTSv#M#iCZCv(@sNrP=m$ zb-$j}kgQgNlK}?Dfgfi0Q@j+$FWwOiex5)Q(R}o(ejGvXJR8#uC}VImu9$Q2B){Wr z9bc94C*dS%W0b0i!_YbE;8QrYyg*o3gj4qp))EYg`$WJD4E8P?%uc~8Ih~@GBm&sj zfZvj|%c|&K!8{B2?KdIpX2C1^YS=#d-`91L=)lK_x~CwBnh3VkM^*EX&TsT#&*{Pm z(EfdVqKD@^^r)^dK!j%w``JoLHxaHR8j|Qb6Zl$M@CtwDM!+&gJy;etup0-LNo{y9p(HDB|9W0*iC3gnU>z#o6rNNvXlSmmO{OnIP7LWF%4`*dN<*V z454c5)308=LTl3D?dH(6Zg8c4p3PPQm%}tOOhaFCS6p!%=Z_kA?uZ`f2)jXURsSK6 z;}Y3mUQjUOcv0t_5_Na;Zq-k|*uwrJ*8$9u%*;%emBS@LUo zU-5W@e}*lchHOyk2Os=+)3_4rqgws&n~C$25^nWUryoBMqUC2FrFY&CwzP7*a&WfM zOBfA0bW=~**>4M8p%5mjZO_k##}2q2Qa>8BAcKlOOp3n}HKAR`A3CZDruItM&xJXX z)4nSn9`dY{W}dfHNk%3C+j*rRPxK5EQ@wXFpjA99?Rlm-)#*h9I&O%Cn;VbkvYYBp zPebyy$e`QJ&M3!<($X#y(W^H<&8Y&n*{+-)XT0bdO59X4`T0xyRWUL5G&`6YmTEaM z!XAH7`ltq-uZG#^b&6Tt=0`MVUGBg0QH2~s#k2JBE>o~=l0Z0(lN74=lAS6}CV7Bs z$B^mVJoxM|I#G+0Ma<0X%L_ld0-Yzc^rJ?UJR6GQvHSAhiPriE-^ncJT>9MAX$b7j zLm(Qo*pEfE6dI=9;-S48P;%xOEZW$ zqX{fSyoY*usD4WuC*Y|iX72NG$+|xU?KUUqbP2#w!|xW-@Mp|9D^a9Fy#9iQN?|Yq z`W-!i$1u-7)dLd7*3@1tLG6?~p-F`@&u{_PrihmVjcSt%v2{BP#4YB6*^nH8Kh^nK zVv!;<&Y!cJf0mcX>>n6Zl_??Dots(+Y^+zOEan(HX9=-c;3nwPXopZVw(OrE%97p) zdl{a66=I;`SF0q5>O6EGd8DJaEvxdELXD1tnPVcxEr^r2dTkkvuDXKxP3{naSD!s} zE_7fp)E(~$i3VaQwbU)ASe-ps~KZ@{u-m~iK zHz|&S`tnqolTuMjzLJkLkVa)8fZZ`Y5(wJf`nU{AM4E!~xW~<-`+b^Qs(+d+(G!?( zB)=%MLqusUcJg2yPI?7S0omq9I59x{{=RS>Q5IM7`-F@+teKd$

r-N_z$th``@& zY2z>SuK-2x-+lDm)Z32*2Jy5Rn=GalzNl0iQ_u)c43&X7h`-Xd(RhxH)gUwnz?kZv z$D&8M3#6vNLNWY$?s)AFSE#`vZALb!L?^iKDUgNr4{#yWN~3*JO53wyh=WsxIWNh- z8PJV^;X!#Ci}iOXP6Cvk@A}M`wONn7?ii2kd;A9|fs^#uF&&U;K7 zrCQ!mT{8W*(DM3gk_hAz77kSG#M38V!Ffcx2~o-){X>6goL}cK2t|E|(YpHxQH!gg z{!5y*RAT+>K`A?z*Kd~)>*VMS7R9FNu43R|!zCZmyVeC%WpEG>H3P1R`s{48Nju`@ zn`W#IN0C2!V$EeV@T??^Yfl3ugMX)^D0`5O_Xoq)d!ap@@zo zt!S;_fW~wL$PH7L0KZL`9$m-+(e1~U4A#|0XBfXUIr_-|s{RU$bFtNnXVJ-dDzy+G zJRl8!`GsDa72nJ+3`@iI7-cW{)vGJ&N0Ik2)@BK<_ey(NP4*j1O?Jqdnwl}(xj$mw zhhE~85Np#DODk9-q;CY(`Ec$S~ zI<_?_rQdAud;MP+_JOzKe#Y|xBX(4R;TW8H%fNR)iYzU;6qRc|)Skcvo28;nTDeUn zvf`ksY3QVd=@iAIo#RW&5#8++jDM_t@*GReMAyz~Ui7N*E6_j}5b=xg&eQJj&=@zL z5AMF*hrY_JI_8h!* z1#C{k5h3f8D)1La%7SgS*qYuIot(ZOd_=^4zeW1}_SJgUU{d2$hwi@-&2AF3?EaL! zS2Lf*X;AD6eV<=56M&?ZEvL)aAJkq#WL8U}iN(PvLPrsFz zABp(!;5nrG^<9$`zDK9zYk6>-^^!F;pP=jD)vKl}Yr@hu#IzAC(;9mJzJe_%64>T1 zcF{B=$;rcmP%;_K(n>s8vtI1us>?_d-j}v(_HXRNpEY&JqjWqdO3l8Ie^gtP2NquR zZ?XT>r0M_5GAA}_Mnm{lO`GCN!0Oo*7kAd;%^9SoQs+roFiI3Osu8*P>$J3_9M(T{ z&|_z}W4kWQtnZk4|0fQT4-ei%&bXL ztRMQ8OkUH=Rdk=#EorJxK_nLR(-YR$d0ZJjRn`q?R|~D_3Afa8eQhM zzp(zHH#q{QMs)rv>~3Llt=ErNVbpyGm^b27K_X@g<||jo?)CLt3D@JDcjzFufUMzQ z*{Id!sLF_|+T*V8$=%x3NsXh2hsV`GLBc?rib;^T@!fdBKOAv+I3HnjaKM!i?Wr0) zPkfM#SI_ZFl)-m&Cp$EM2_N!~Vd5k787y8%Y~$zQ2@A1Dcyh_6L0~>zo;v4*^_nhR z68BDw8vfxJr`Gq}?)&E7kI<9r590b2tZcQWy^$ZG%rU9BP{7V^Tr4;z_$XZ#DdIwp zeeRlO)=s?D7t%*WwRLp?l=)$1xo8fq7~FIu_0zAsLJFis{M^{i4y8McHU$p?pvAFx zL02qPrgY3z>=AYP}8s!cGldJK(-%C5ePHp$T>$!QM?SQCWLfYAv90 zdpJ;$v#fh}5afHR?6B0rx68$t{A5N}hA!T3tNjv$2>Hme;2~Mr%ggV~onqtjgWf>u zD|BA9|B8q?l6Eu^y5!{*q40S0zT~y6E%aBF;QY<^#f17?zxHsaH#_^IY1QpS(Z@@I ziU828CXwQlANMDv($aTdxIxpLydt0fiU!P1CfEAy?i?szXV=kAbCt$UTd5wPN}|4| zIck3td${#_I7&%q4ba3N@y&k>W~BcRJc=-r$*~0x&Tpjdaz<1gzTsPu|AR_FOFkwa za3t$~7bN&j2pvuov0r^&;xe(mf=4A}SXGRfbes=m)h2pLPw>XU3{9*Itz+F1-S^%P zq^@3UDiB0pC!&%Z`(WIKKo*U~i;dvU$SS?t?JZs|qzpH3`O|(}S3oS@sCIbuU89R_ z^3U%Um=p0~TmIPO?fn3uFb_~S!GABUmYNdEFN&ZvTH=8&yUh}!pdBi2E*=iKqa!t( zcRW^9ta~d;)w>$6ZQ0~yM5@5x28}}srN*Ek;$p_*($mq3rS$0k=!&parChL4z~vn< z$1@5uc*Mi-59ML6c5$AP?^IliTRXO_8UTN>Gv$rdt4pPBZdnmp=XNN{=78TU+jXxD? zT>7f<_~%+TCzHwn>vK!f1z@iL)mU(oO4F(LaRCYnJT-wmJ*I+O|C!lwV^R_mtU_qL*SXH&bNVI}vXF zIlTtdTq48AB{l*yP~{u~<1LbtlL2uAfu99syEE4H+s?KgLLCiW+>dfNASI;h+h$Ah z2wC<%UJl&aQr;G+E=Qs?PE0fp{=L4xntHs={F0UPLkSSC1W8ED1xe^4(5W_P{=+8a z8XP$E%lpQA?rIHWNZQ)kAG5Ou&fH!0|739EcDP=$-ffRY@6F15-MZLqb2Ml$BFp~i zf&bR&$F9QdEOu2~@5ZTR4WEUWO_?SL{ldWZ__mEzp@B0{bvz2`Md#K&9`s7 zrlS^gfJ_8j*T;+uNg&MM<>nGwQj1>kEP289(6CFlSlOy=`x0zqT%$$AJkt#kE>~xp zhWw`LenDN~mncf$986ww|HB^;pwi^WsXils3%dEbnW_OtHSb?x0jR-2}oRFe5R*5E~&y1;`IcQv_i zph1<3jy@e9Pm#RSWr9z=iKvJx+a*PrPR6vJ;_GI62 zVhu=yfffoGBI3Hj2()$N`nwfCf)W9fYizXgckiC%8ddi{{HljQvSgxR!W7L;cu4L{ znrn6aPW|jcVHl9}1bpv@=lywDFq2kL)Pc6LG!t8LVOb^lit%8oS^~%zE(XZ7%AFz{ zK?^dKLSL4E{)siEF8)8m3bqbgmPpE_u@M-Az=t~XgL9)=jD}pez*q|wWhAXgKp}pVs>wh>^=HY> z@zCmeeh4bEUcp>^b*C>H?2Xgv&Y0@Xx2$C+LqKLN6IDW)G~Rd{OgC19G-`mdAh8Nuu1-N8OiM+bC+d|aTJd7*juB#oKrUy} zIu-@AJJR1Rq8LRce&LKi9gJKkAif3qe8dQ868`W#-fkuoO4=hq$mavfwUMP#?Osk^ zxIG6>G0@-V=hL9u>|eb2Gk{2F3~0SC2CiQEunVq4qOBpO#mLfZF?EulAh7a)SeJsT zvt|a~48j@cr2u`VDc>dQ@-|hPZqN>!K@n&HoEi~OiIzIO53H8IDYK^b*wr&S7!+%l z{|4%F)3xW+;gK-eB@iX$t+eCvjBKx1qeZ`Kjhg?lYJLc2@Ff_KZ+v!n>VqyXo2l~Vi@Jo`+c+z5|3JH16XfTzZ=N#_57zy~ z;%jn|z+)X{-3#0x<`-=TH_PfGUT=h1Q#3wj9;6Nv1L-Rmy4>zc8cf6mDl+a$73^%W zzb}C9QFivk?+7DaFkrnyd9fkwX7{6L7ECN3N91pMs}HWjWz~?UULlj&5s97ybVDw= z9+dOs_AdGMs9V0WC;K4>oLDOK=b~3<9~w`9{wz;}A%RpaJw|4{+!ydFxGxq@kWzHN zNKgMg2M>}wfm4dbUTq(lsa?EdT+VtOHWa_zORn)RT1g`@nyXAwfbZ_PBSF1?^^!JD z^tV}rLeIS@v179QMC%`wdo=ga$QoqF*Ask7*x#ypLw>a`1$u*-GeBt|hVnr`L@B*YH&3Y@?L22T#B1~st*!A9 z0j-1bNN-EL0fynjpK+Ou_gu1~OAWvg$C!yX=)NO-EMr3N3!D6FNE9RRuXKc?}xT4_u1U3AdB7LV1(Sc_w8tj~|f&zKYK0fi-s5 z8`Opg5wIn}x+TjwpzNM85hQf&WPs<<*P=EZy5Pt&-LS^%5YKGz$12*@2GUgXS#6{O zS;`BcN*KRJi{=xm)j}^RHv9T7`m`dcLSKR?i83Qmu;YSctauii@dDnQps=Y-LDIU! zo)F3Nh8hTe`nVZ3xxq(lya>yNOO6b#ug~|dnsX4|T?fW~ay0E`TfR+W8;>*tzZf3X zOxs3#i=KV7Nm!IJ$@%Om(_ZD#0592=M-w2UsK*!HJYv$!XGr&LI1f5HU48@6)@~{6 zVX@aX!q?e7>@x~55)fKOQ>D2lo8pbh!OS)wh#lc?pa(v76ycd7(EpKjZ1}^&@B^fb0Kbg!AI)> zrlWhSAJFCYz05?#@G<$w-UiKoCnK)PbHp9~2@3)Cf2x-#g$cG=9Eq%bLyW4QhfVK9 zf`2j$uVz*S=E>?^6V&CT7tI|mYJD1Fitv*#;%wjGd@C|>{eJR7YD11*}_ZaX%r zQjirqrBWQ<2e=k=B8vrb`{O+(u`nP|jsc)%Lx<{pl+n`mmDdF zS%OI*IcwjVxt%Qo3ph$~&Dw1lxDUvae6#Hl-#J6=)+ru1hTZUHT{2>8tl@rbsYAfe zDs6g4rbrlMzc3iEyg7i=I&z;<9 zQ#j#FhYR5#6~S!gGJgHj)2yq*j^Wp~)Pq#GD^c9%<=+x?nWqzxdWP!NVI%uw?ezH_ z$&mk+XDcWC<2}P>OfgYIQ0UKZEC(Bv zX|A(AAjX2veSH^>({pm|-|Qs0{Nzf+d+UeC;!+JBq{bYIMIrc>-hO7GBS5%tV$~uy zd+iD0`rSn0sAJ3)NYP_Sj{%s+dnb?bYL7UT5vb3-U3xc@;#C@5sFmyF9mfe>An{0n|(JEGfv6fTQif zX~0kAHDgSc!Ae%@w!ThDCV5qtg4HbiAP2uFtCF&N&q3WQf-Tj)gE~|9Z`cJFmZx^G zQ*ybNr%jp$C4nrf=>5l#tNZ?hlTXEbkYJdCQ2c&Jb{HR}az^1l9-2ltMB*yKl z2P+|-X%CJ!NLe%%xGZ)TC zuLU8~NtpQ&Q~fiW(xXHB_**^z4ghHE-F4sCju6u-|9$oA5c6s{;-SV;U8?rdndCD_ zP6InQvP=x6EC;pv}yA}?=N zn1CMGN%^MPept4bsBQ&-eV|(})fzO9OjjdRAPu~jWv38xBSmSb?vI^}b8fpSX$k?` ze0)ofnuxC>>HOJz;%L~=#{o1k2!PN%zN&Mici1A2GCg|-wiC3$kj5YC#n39TiK?iM zVhR-DmT_^b5E+L4@$lD636r)tLk%0wB zWJMUj7{TXA3FM{qidf>W`2xX`n;MB0r5q@8C!RNM-oijfZ%hBQe`IbtMuPo}>v9){WrOZVCjcm0^Ptj#i4FB-(DeDCG zKYbURQ)3Lg9cqW#&-;5}@q1C@t9hqmk~jA^07tFdA9|f0RxY;t@xO3d{2uTi9(WBc zolz}v1Uik*$NQtN^DnYF?~jtl9pR(tHc#P! zl$=%jZy^<_JqUnphC*N6pX-0#CR=}qh1%5i?w+jzhXuHX*>(5cv^OCkV0{btx1hjW zJc#%~^<)2hVN()^sq&Mn!#I#X%W=qEk_TecD(gcqDoKa+sfvg;llHYG@+gpPP7Eve z!AV$(wPQH~0y02h0Ax0R$*XJtqEZ5Y_n$IWQ9h0=e}s}e_XL5A)3Xy0O1rcdoGd#R z%u!LKFAAgeI&l1DRd!wU69OO=q{W=b`5{#4=;27zFg?DJ^;+Bj@dHQ}0+SB@fpli| zp;S_by*KBBt3kJXXF-e6!Uj~*pL`i~yMnCd!v|07uU404Ok2jo*bPK68m8a_p265p zq|v?e3G)XWjNUK_9gKuw49HdP5pv+w{Oh}eY{xe^5nPC6oxw!gRN0$w}69Nu(DV`bBfJbhqxynP62i*|n8ToQCPpiB6+H!ddZ z#0gmiTR2OCqtd{WUK}uT6e6Y&9E|X41}>FxK%W3ltP@2JT;AI?fwao=>3=KvB3^=) z=zr+2DeptpGpld2ro0QyTt(3XMXdCaRnQj(vnDiDHsu?v=zx!Ey5!0bD`2q0KJJd6 zT?_o_&^wME0OKaa%w1+t$Tc?36FWu7S;G>p!t#u1alu)>CuaP{xUNbbu)DbZrWt`w zrJ7z85MYAXDmU?6lf4YN&iK((&o&EG=ScRW@BQtfXSM`dC;t`iMzKkl+n-jhP3`_R zr*aQ9(u(11eN$E#^(||1i)kFVnVOP-kA~PJM)44)LYFF8rr->(RF#^RmMg6t+VSum z{xUERV|haR*ydM>yGnHc*^7<(8b?b7!H!G*Ah>8wf6R1Hl+v&>kn;Zi{tXE-cwTN- zo#hbV8P&A4BQ}T93qU2BySu>EPg0a5R5@r1?9UC5)m^;<`YaYMei9!=C=rMaEn9G} z?M_#))DIIwvLAeW9b<|>_?2C(@8tgnkaZx`ekW}F75u5u(>Zt?Z(neaCnImN^mvYb zz&>)qHpQ^ouFd`cMCY-lHt@2*zWz8*m5=9Q28k;X`Sk?1Xi^IbGO9nR0$bg{HCutH zxiQaD7+IVoopgat*3|5Z+J0iJ79g->W>&owHiALvf-)_;?-!z}s6Ux;5f{u)2j85P zCJR0O;hXk8RJ^2LqJnXm&z`76A-rvdho_ykK!k*RA@Q%p5Syu_N|ukoOn5jBGWSwM zxM=W)|LI_QkdZ+)H8qu6RK#!+O^Uq5VFG1y{v4w>p9lm3dMQ`w;d+j@1LF5&tp;Q@ zC7bxG!wr={+(Jl5i2kcVkL;VJ_d!pk2@Oc6UOibN-=M(VzrI-HbFYdBO5KV2NX?$I^mP z`$fHG*^-}H=w^n$lP4u9%ns1`Stexd4b-|VdAmRv9ZD-ATjbt*^EDvAtribQXXm+s zO=kqUsQP6h*fhz&R5)jWC~nXUdaqo+i0x$*dJ_3XcK#gjm%T99>;H!v>Hz#l$s8)uA>pQ?ZK9l9Lsr`}$s z$brKx6FhahECiUBQ;eM1i}0|DWK>s}6fal3U|iURwz3jhn8_$zsR>tl$QqK0eM7@fSAi)PsE&%zn(Nt_(mk zra^lxO&c8K-z-5~iHt)*>;o>5aI~DVnys}>_IWjw<5sQ};3)E0>}<}})h7rIzjR?B zo62!!1K`!7yN^QIfV+pGAXo-*b8j%z%eiNWaw$=9UH1QVcP8FYfBzpJDoa`VkjN*r zkdGx>O=M_G zrxHhFTHd%80P_K+YlsgL&9#5|xs3-cJzy7j8A`Nuy$50Jaln=$2>FI%o!B& zVsGoN3b6Vs%{p%rE z1#xQSDn$-8OkUBq(Tg2DEax#j>z1m2=An_CL5vllIxej#$sV%#Lxh@l)^b{^HDuEfH7Lgz)ZT}gcpJ1jUIW(dS4aD3lH$xTPRrfx9TN8#t4z%0du)JX&xZdmt|(~c-!a{AZiUHuFT6)AGozQ^IGWdl8fkMB7N(DiQG9L&&ZZcIO-Fs>Yh?YVW( zrh5)A*vb%OjzDMNN_ZhyEtq2+Djz02YcZ~=dpR~UH^IJYE21Gnt^8 zW8Byb;Lx10*7Jptvwxvxmf!Fh$ahv^Tp(bUPqp>= zO$OVj#=rTCA^R&`>Ax>zOokOo8}2+D+(jQb$Q`5wq5}V@UfG=dbqF{D`%DC1%w$i& zj^(*OxF{`Wb9-+u2m~Anm##nJQYGHMGTUo?M$O=CmR^GoPobMQ*cp%gA@}C{`Pe>Z zA&XO5Q~IZDbA2LoX-Ox-rEcx)Esi<+A-$zKeoaLDy5TM#mQoZ^I&jYH`zREh9#&E3 z>B##OrDQBRa8c!`h^VNOpVKMggNy3zVvNV=qap^U4(gZK9@2j-UfJJRIyB5*mPkPl z*VldAO3}LfOG*5_ub&LFBPlyeb|QH&VI=Bv#O@WV6?R0#F9FN z5Mqx9H;4hvJ1kAehB7qEVmwvaC64V7ptvP7mgHf}+mY2|Ki5(CEHl*B6)zx>F%S_Rt< zxjfYR<@5L#6)c@2#=Y|OQ*(4fg@>btGWvb&$IKzknT5*=sVGmoK7laHO*5D*Y_=g@ zeeL;+ioFfqT~$`pGOTK#hQmxhX)edD2&r%^^6cij72R1r&{C-y)>>&VOH|caJG&;& zsyEbK+j!l51*<<}-fC`^e4b_I{HfaSgjx7>vzX)pbLgIi$}Ja~%x+3L@j)C7Ka!Dl zH|l#+NF`?Tw#^gaaDdKpm}_0NyqUoP(Yr-lkU@_Rk>xEiH7Sve+b_bFw21}?7fUsp zh>Udb=gZG^MCf!f{H6xRC9wC0Zy1InZ;eZ5-OVcdooQZLq$ho6@ zohli@DLq~A4xXhM;G-ZU&`6X%j-9dQjpR|BDuJzn^gQ;JYsgX(2d$C5Aop%&?5 z@VIQ6;=cnZSkurW5FxnS@{~ww?cL%sK{t5cCaF<-J7jjgJ16PuYl)#6@0Kdovdh4l zjYVJ3o@2U6;S2NPm*!2X1;>QqhQ`J!k@bL`0Uzz1p}n%~oZ9lZq$m1>2nm-W@SM=FPfDU3N$xF4)^$_T|#@Z0O;jl=D2 z)I~DPt;iSU;bFL_!d&?8cZ5TlZ5YC|QT%9RWMo%TdheVkp@<#q0~$@I(@7mj5+S(3 zX$%SojaYOULJ1v=wk|I+;gpAEn~rcHf&g6UD0hd`w+xncPQ$XnZKalo)cfOSM5M4 zUkL>FBfeKRj}gs@9J=fr@L?UEpDJSmBn*m!#Ied5%`m&4q(-a|G^FMBKlwp*$m{_O z!&47xR_ntzy!bf{naQjVRNeWZmBbz+Tg6Q6KFrI@Blrh5WTkxEhDrF3)_t_zJI=7t z)~vD0d=CYbDb!{FAdG0LLZ$D_2kl{t@<+aeYt}rpVH*iaRe-(S=(z-jGB|v91dhRA zunQB@%dBpx*?xkTx3}()&8sBKXU2o|IV&>-$W?k(6qR&o?Nl!J&q^QJ{B+mI>+%*k zgB2amA}9M0P%_q39{#f>bjs1gdkJ+r2t!|-sc{J%qd9Lsf(&QdBaN%BVBQqY?cY!7 ziT}4{b2=fEl9A;w!FJ?%TF8(QLU>5rz-k?88(ke)x*GGSGVvKOY-sZ%MFyK`QyK?f zedc{xqkS=Arr-%XXE=D~!!b$ zKVnMFv zIN|c!gug7g{-oK?r4=5Es7(GB^(xJ@33nBLonR8`v+&>h*rZH?1u87kO0<+Wt-sk; zUL<~gvi%W>tvB9q55XWgD`CgT%2HZEdO%9>yxM*Dq?xJdn;i7U(wL;Aq+;eh!bgt~ z&Q>Vn5+08qZH;baV?{+pujMs5K-bofS5c6q=jdvylaGXlZBy?^2oBk(($$`z6!BJL z!qD?A=7smd#z@w_W}wV;Nd3F%(B6Z8V2JKs2t;tLc`r35mD4$P9k`w?X23V{twzrpef()%z`39K5 z8Uh9LOS5hP?CU}T0^n0`=jSeA zgQ3p_K66UHu#VE}lbq zO?l(3)O|Qs?EA!$_B+>~e#>wuxxv*nYN;b985a6GKWeXh`FrK}xR9s-;tA)qs$cb< zQ8=$cELU>yqiy2(A;zAw+69H*+3-550b4I%Bi*T9n={;}j$br(ABdw(3n@ZeK}M5% z*#)j5%j}h&yP%7P zXPNZ38Fa}P6F+T5l?2#UKk6^iF3K|0RAj&v&tA6Ot_>0ozz7z1$J{@ByNCbafy0<#(r#4_Wu_E~als&{O{ zJq;W={S71K{M{wjmMSG;@UC2(ORSCH&g6=jMyussntWJmEBZZAJ0$|q%K3&FYW6j5 z#-AM>xj=l8Iyl>t9J00$M`+L`VN!(W$7V?{moy-)$k;hc{u0P=R_@T2ys2HP$EAEx z>$tk}xa-cCWuKWpnF}?^Xcd`0k22@i9&TIVyN?4rr9)whCG*S>%xNno>tb|ZYt_p_>=8nl!{18cVo~kDJk6{DBay4(k0#9T>>KA-AMP)>>2$1clX-s z+WoNe1!mrP&wJuI_qp%;c_vU!<{dgJF)9QCLI3bxOaTIc&xJtXCLSY!Pa2$@t-&8e zJCP4ckHO33u|WU?LIL?8CamO?v^($YH0t2RbmwSkk?>Pmg306w+Yr8bM7C-2m)amQ z4aYx0UaY^9l&9kPTjC>ajJ~8k8{!|l99Y?kuOJxXZgK5)uyOb@h+5CuK|}iowTQ|# zmR3TM(Zb@%>miONp;2m!gq?%%h#@v8hl$9E8$TvyoeNW{70xf-)Phz6GCR)z@S^$f z7*`8I1zs7wgmQtfPx6@4aImql=Ucx^A+3q1B23{Y0;bLL8M4=+kg6r5XpCT#2 zZj_)!%Y|K!QTks40soo|YHI6#t9d;rn0EV{=s;f~5b?=D9dcGUf7tK*e8lfzG;E%| zd>az>l(46A6ecHgmFx4`@9k06Xf*79nya&WHS*+%QJ#TVq&}=C3D1#8NRARy@1D}j z7>Ugi(BMto{AobTi?N?V1|2iTAmn$T&9_E{xKiVuTeu+NHZ|GM6Um=G^lHNcGsO*D z-5xe#Ssrb<2;(|RtSt9FvKggKWV{B)KCMm|o`Y@`RIy-U~=2dtS(NlVHW6&9Qh>;V~xe>h0nJBQ>lf`vOD*342R5uHEPC2C6#>&?TWQs4!{ zK@bQSU(~)3`!_!Rb+1RPydFZ3@pj+RgaItcQGG?445Ehy>j$!W4Ss3WU~gNacrXPP>YFdOJk)RBE!%VH z)Tmlo&|nEArC`Xb6SI_;N2J6)@*fWxzHlUjSb8xGVY^J(=7iOQ#)gL3jlRvCOCm3)WyYyvhJ18`5sT(DlAZ0KHW zy^1(J*GunzWG9PBzwst@FXr;HH0uL1O|))CC7!nP8n(gH0a>}cK=^-=D4N9Sy?OkO zOjtf*X?kKJ$jl!T0rK|UJEICGG3;d;H?S6X6;3vR(DT66o;O@vKUznyE+1}{cw=H> zqFQCj4rw*5S{Z7?)TvU()uhePcnC#>i0XXQ_4TKaxq%yG!3&DEmsIr+yN*VD-tqqS zS8p#I~+q)l9)CU&*7fxc=A+uH% zj`Ozs8=t8XFdn|no$T@iO z1wARwcIrwv$lI6CZC(?|S8~YwtALrk#<9=~ew>F}HtX|ItM@ zZLcI&5%>Tz5XdyqbRZ)P^xcxxiL}Z+!@C&euLcVg#O#A^$-U(Z8YTxy-FJ zB~wa=8o zN4UJa#NIPvCRtIV&oFtwzXXIose=Be_OBtWECPPp4f`_IfdSd!us&{a7}d-k&6u_L ziBZ8pXz)<+%-H0~b0oB$?qpy~Klz6g` zydtlZLG&;#)TQoFl8d#iR~Kv1vWLn>uin0s{!tpzv20EKlMmh#f)j{nV)FOBr0hx9 z6fz|EGbvNcjqH$$%3FuupA?x8sgRT;1RfA1IwUM?<>3DAVCZo9og58IB%=dzTL>Bv z3yT2?V=_~P30{93L&xIX-XfLJLSrtJ^T+jy9BoGY!*;0u>y0VUdVkf$M4?C%5uF&f zwQ^B5y;nMGjuq^an@iKP>VEtRY!`>$VI|)QI(JpYSRXLd z9#X<*Z>VI8x^KMT@yvoKCFL0MUr2ie1%0l)E^bIu$kTIkiJSKo5!d}4F3I)`*72C* zyDRq_Og7g$ZkCD|2qbYFwKXvHwnebRb!YNbrTG!{m%vE&?9K>R=3#Nl2MEuIIX@P> zXBFT*@pT*;4j2x2$0^|TjQ7inT7wGx@mS?wDRSxj75x9#*UyIEkuP($+&(j~<%U3s z&zV|I>E^y}CZjM;@5=Q*Nvkx3gD8bfIg(H$Fv5aC;)DL`>c#Y*WVwZ1J5%CkZ6qZv z7y}vNfecghv`Tm)FRl^M~UAIW)S#ML~ z)nj0)wjU+^z@YKU!Nn! z1q4vTRwg6n#9y!xGyXS!YF_`}_MoS9Fu+L&@%{h+}CV|qk*DDkP;#}Wx^6C6n@ zdn(>}KeRU0j9`(qF4z`*z1jWiai<>2?ib$u_scViar0jFBW@6nUR_yhlK@LyT!185 zNpC8MAWOD9kzegF#*_^9`FEO%u;O)C&t8_YiPgX$L%z?3i*#X@%4VCLx_%dbuJ(d4 zRdz;@~f$bNG(-d4IireAnKU)T}1szH%K z@qlJARDM=2NRvPR{@lgIfp`p?d$z8(+`gAY_+E6oZ2mO-SMa~Kr=Ifsl$=NCEdI;N ztY?orwwhC->oVt_kf%J(NhtT4@*mFm9A4o}SuXsEgPbVs6Gk+=eP(@)ww^Klns6BJ zVMo2DlFajUP<7vdb9_XLm)~mFX2?))hA$z$77m;K00kd0d93mCzth1hidY%U|Fzn9 z_$Q#|X~0NiwmM!*JC^SQP{vTb2!apz*%we9D~@d#sP}IYe1E=Er6d0PMeOL`mqa=h zl*MKPUyN|Y`Ot8Mt-J!0N$IMs)f&rc)Nc7ISv#C`B16IJAB1CxZi-hX-?8j|$%39! z8U3Zl3!s5;9O3?>jOc(K$)n2u?ic^#b$F64u@+w;N1W06uaECd2AY2LW434&8-BQX zB8rEYc=7*WoUo{nN9}dWf$Ljr=3kd5fOrl*pCB;xWDhnhP!$vS*G@ob4mlCSylzbe z$veYzHZjk#z*I0VfSH=XD6B$&SsL;DBx21*WuLSPs#Cko5A`{Ag9L-7egi6Jz9m{n}f zW(~}o0n(#$ubJxzvYunCNB_=3s$E|9FcN~rP$%cJzE`WN#2HJfjt@^Wc;qOeBKLH- zdb*#?Q#C)xE->I93z49l?&lC`rnnHhg_q|kkK>0|YfG+BrKQsT_pCShFWnK}_zgAwghtIt$Zlu}EsU|SaW_6dxwWzod-2WBSd zN7Sv=T0WDow+U$c7Ys3@>zzJRsEM5zaRae1Tzm)y8gXtd86oQvjFZvx9}2VH*60r=JcaX4*1X>zE#fX{KwAZW#UFjq=@8R$Nvj<8vlMc*-b@C1ls?z zl}}=QquqlZ620F4Ha)jyn8syHq({_a!BC)rUiYorx6gJCnq<=i{@2EFk(h_{S6_S~f0)F{k< zHDTPhj(10jEXz5OFi5QX7-fsgj3bBh-+Sp|;ivT=*8exc>EvB+iQWfVM)SF@ zu?EPks6ejaM-)^+ak?jnGL@e^m%Cxe|DoG~E^gU6n9S9rzVj)yCu0JVrzUhyH~Q)Q zm9A+s#@f!#y0~gHGiUl|pf57G|K7w3^PO3d>)OvHY-Pyd*h=Sf^NnQm{M8kQmyG73 z8i3$&+Wk7iesZ@ry3vUr5Y^t|Iu_D1G4Dz4y1MTZ>v?u5#`fy=Mlg&e3CEZ62OD!= zSL{#YD9-Sdp5hwWm%BFrPuVt47nsuz{J4j^C?wo6K8#A);0a3GELDX0_$q-lNwE8k zdwxiQjZINIKCWxqqDd7l=Bsor4EpDPCNv!RxKQ=Sg1>ngw&`D*7%vjSFZtF3Q3%nZ zevFbf8Nu&u>mUp4Kp=d#TYYN0ufS3ba2GxfN>XR>sLE%e#N~~M)AqXY zDxu`p3JXMG{pQTWY;XZjho{BG;quCIO8E}CGz12T7$OmhRp=N)o zus{Hx)$$B`?^Jr%;&ck zTi)8julc+T?H<{01Zl|5yrST`#zzdBwPv3Ad2Zeo%Zi2Z>Aj9FPOcbQ>uvLs-=bJ4 z&Vtnb4l4Y_TtC0KV=Tuq>Dgigwd5VFdJghX;Gvf3>s!nX4ggGx@v1xyu6tf3{)GwS+n}JhE)vYFQ)t0!NtnGkezTSpr|X zR^<8&`{6*RAmyuzXLA1ckR~pd!N;SGmn4(Mx0RCuMR6@M4MPWsyz&XI_&udmNqzmd1meoZ)N zhANhGU%=L7TKMlV%!c%Gcytmigfr{|tG z7_9@YdiT1SW7sSD`MAK~*XF|)IDw0C*>W^uCMK`ffVL*c?qBy(rT3e+gkFy%3Azg$ zA0O*56S|N&@+2>)r{oC>?Z7Q8QE{o`cN(^@JmKS&okjJx-q#DP)XpoUd46tHU~a z&qJ=Q_5Bc-Hc!QA`812#+>>@g{@PUdbmXd?eUv|l`_9;-1?59qeRb^-xitGBOCfdR zy{`yd-CT=%!C=|wh)crrmpCYRK|=++fnroYbFL?>H`msD=HAiZU9p!L8XA6Usq_vU zXZM{oqMl^C)I8Z32;NE%i_*9bcuu38W){_JKnX=LsxIN47EBDR&yH^nFN2-ic7Njtw&Zs-eDnKAn}3#^xCnEz-s31qJ3y+nX`BsF%8&Ioe4 zV~^;1iRp+hb&Ep@_Re-{`nY*`g23AQ^A!tGc=Q?^=b_Wm)1zsS6^x@+T&jG094p~l zSU4oen0>yUI5$Te^8Q8p&`<>Q?$@thmBo2!X%xG4<{Tta)X~spg}jih1nSA}+{rR) z>%Nv=PXomS*uUV9I!%?MAi{%iO{E5I!m}?e*4ftrG8X4yYYDGf!jEpu|7=`yB@Y_% zE%c}YmnDPSh=)B_)+!esa-|an_ajWlNuna z1)LBPiYDqu-+ksR)F<&|(x7jKPNk#2F7(iSEEa2&rC;o^Mny%{shBpr5%8OR_X0e~F5?HME95Z?2<$_hl$B!_f0>3SC6TsZG0&SN2)etC zO}>ck&32G>oU?hSG`SW}&N>5Im5{51_7CS!8=lmG-@kvWIg=AGsK?+P=r=x=+IXYF zfi;{oGR+xsaJ%Z6dwzMVEdXidf4`m5RY%hsZkdo5nC^D!YKyf&r$xwm+Pbcm_l{op zZ3^);U4CTEv0K3BVfKR5am@6l!2qkt?+{4ySvdLIDtC(M6cb;Z|x9jS%($-M=+4Yox zc^Hs6Y;2D*6kdZ-QXd1Zg@6=H%vM`{tS`a$O8oi=uFPMmGVf32f0sBT)@f_7?y+>Y zqc@-qY}h`Qy+H~pya`Z=HoaVNO}akDyfCOeS2=0Ue_VW%g>_of^HY9%L^JgTj!)i!)mHd@x1d)Ra^9Dg>?iOqR@~Q>_ zBZ2tY-=1af7O&-*M{J4xHsjm8_nBtB-)g@XhO5;Xhbca7JFDuMl1*xdS+wv&f-GTo zk%zdkvW8p>gz{X;<}St%et%2~>G)?h(%W?flSX{P?i8tsm9;GLk7uW4t>qmF>$b&z zDaKLoYxxBRz9GEgc{5~(omp(|Vyn+@IdyxTf`i?K0JhaIn-tpFqWMHPU(&Y_O!TzP zOa!@kn~!6z3i<;&{T&*9Re*~He|`Qow}g#S(h1@1JC0)Ya$VawU&dhS^v^Fc#$YN0 zV0Qv$>a8LBzG_71V)A~F@%6%U@>bgpce0`P@y;b__iM|!30JFK8xo>%;@*r9ok<}o z3HT(#pn%7%&z```PU8GF{;1IkCm&g^(fww`Mt9~K7kl6{Oq|QJXg7oe5{;#N3pum* zUj+2|5lnB;NQ51|IpE7-e6ucVKHFF02~4pnt7aAi z!(jAeB>l0?;*qsB9a7Q7QtsWaYk&0)xFyCVAOTlNXUbj1#u#_rZz*HbWHdr>s!&ap zk6Z_9Cf7 zA{v=MbLj8$`(>_Vs0d}O5T&$tuihh%h{@f{kRS9a=J~I|`>wm}Kc%0eBwuc^5bBms zxqR9&hP9SMj(T-R_Y((vNi>VP$NAX-hlBW;70m)nb7imz?6<^F4KyQH?!Tmil38>W z7nvO{F`d7wT+E(fF)t|akr8}cL{0E&=G)<1^C%^BTBW2bJ3+yoID}cle8|)mJPCF| zxMl2P9D(lUhB`#3!VNOG#~UhL^*MJG|DC2v_#R2VE+HA_x8lv3Vqf*wCw@gd7H>Ev zVpayVueprhA2nh`?+MO(&WADx_b*TPn3w4)S`eYeu>7FsP%^bWJWW}Z*BV_b-=t%; z&MzXU-=|D6-$7{@WKC=dn@8sXUAfpKp9_yl)U8ObBVi)r!nIDNl_sk$ENE494%6-F z7|$K8k6X2v!1SSScy$Bsq5ir949@dM!{sb+y0Rq=l>>UptRwL& zDyLf`SnSKkXzIN|Y>$UV;ACZWL@E-xi3Y{P$C7GHsw_1yv8P#0q4_F#8F9Gto1?FT z$>gg!U?Ur16w6chJ!l^D2xZTjDldi~aEABqhW)=d7N}^^G^L^)sHHKv5}H}Gpo4eF z2PdM>rJTT*8_RO@SJL0b^fYC$9v{<~00~_l_MG02m>oK#9sP?fDNr+6Ce=|+w7nG>Bs^v9Cckz@6)6%%j@upLzE8E=aO4|hMy$T+jDfF`E zyTj9q;R64%`o_nCg&NpwX##0l0dKW9zi@7q7JUkajU=|ab@rRo=7~aDR3>;9r?%7L zHP4j$^SYsQmrZG>cR{)LHk@;9`2h-pB6* zD|^`(Rs&JJdL6vd$*O9f2wBqL_Y%>|jnfLvS)3e8bn` zz(A(`5!?3qZuyNpQOMN%l14BQ&5N&KFa65GF=qd|Fw>(@H8Sr@*}1KMoH#HJ*p#Mq zn?c#KFZ7R&Mia-DnQpnNe1^)mk6Qg7d*w{yFK=qKT;DqGS$Ja<^aq!gH)7GnA-T)S zRGqEF4^&-jB0c+!PXeF;N^Y`cz1@riJxQUcF3ZMy`KZqWZrq?uZ*Vv`chdB5#gl2M zki7LoW75w8l@l#IUFhXIu%EJ#pqFb3ZxFE%=X>~c{d@IJnlX9Fio0>5Fv+Q^Gcem1`gw^q=cPk5%hPuvT3>LbY3sW+IeGLPAQ1bQR0@~JeHK9Lk7 zcg0sK^Jrx$#J#bSPehSo-zJmIaw=t349?e#;wp%mOknERXnbF^8Q@F|Lio!@Sk{t< zJ2+=fc0TkMd3dT2zyR3j3rjou?mVO%b4{aTzD69AB8;&*j`~lki;FxoX;EftSdt{9 z!=MSWm1jOhU1p%k!7`Pb+)q#Hl)U2Hty`c8BEzP(Hd{uCkX8*d^?VhiqR$*^=2Om< zPsz87b*}vyj=1{ZAO~y?B9zikzNHXgy1-S@B2WhpIBTg(lgU&gYoiyJ)sZw*7q{hA ze`4D~Zlq*a3U$tD3#dutxe;I*xDvJ!oNis`^|o+OH!o;;>fvxD+{oGiee)fW`h@T! z>GKTktV}CH_w#V%{L=6@|EmSaZn`fP%oG0Lrt-@o%=J(JFNZ{DyNRX9?pLs+PK)Dn z(+M98dZRPZi!NSmnH|zKtNwb_F_U1|_7sr>q>3(lwlO5dw5Se)vbXG9@4=~|R20;& z69XSRr)8HPCG7Z>BBM?45iR$agqqU&5gOh$3CA@$d}7#N4APUBETz5THgry7i{olY z<0NUZbB_TGAe4!)t;nAYV?aX2)+S*spIr$aEon`ayNUnqXCs37tl5UG{Vl5UES^*? z_OYi_v3zh~?`>SiHorOdhzg|B;tZM>pM7zEEKVtX zvO{LgdxT~i)D2K4TjMKb3|2U)6HP$F!siFml0m@(LH` zpu#yVm#P06>B44mppBI%v;V75iSR4JNF>|J*P&F!va@uVc`X4A`eMk#A6!+tiCRX}}Xf{3@`Xoo0mkYU;M~feS4+${F z@6A3UKWq1W@&*XsPY~ScM-gXQemC`S#lyN>d;3h^(pBMwvr#8*9x>y8Z+-be^uq^~ zprD`(0c{rd_!kn%)l(!}Uo5m%_hgBsD%@JHyPEQ<(F*#4OB>1_UCTQ9@C|0Nf98Cp z)#6}YrGRh0gtJ5@rkt-L{r7fMJAo+G@Arau8@nyYYoZ79UcUAypSD6q+{ zAg4-i<>xABV%na3lXB7W40{-jUb~qzK0ba66e4{1juN9J!o`6)?9)I!UM$!4(gyhv zs5p2j`CW=GDXOLS_QRr3BJ{{w`wwa!qTswWXWtkt-{tmlK>Jef`6o6G$7`Pih8|3muZGC3rnA!~$4!{qnfd&Tpsw4Tn5IA39n-zO zlq(j9+{-<#4Oj5%RwLcQlXdMd+!dT(-YduY@N1WZBQwkSvBQiWmnI`uGGpTi^Q~L? zL&}Fu^a%l@*r!iq^$iW6JR!gaBBPcKy$lIK!^6kdYx8=9&AFrK1R9IUkEM~P8~)6^ zBBp_fr+@-@tgxt2p88*{A|prr{((zTyAH;$SMAPs1-QP6roZMtw{jwW-4H`}kQV%Q zR=T*l>~)&jZ2#+^41g*2@KT9PhxL?2)O2q(8JO#g1f6CzL66w=^c<96UvTzb3+{+{ zN{~U-$J|y`mDmrM8Xb|osuAVV|7nwTbA9m_Ndi{J(&dpOyFHR6sar9v!x9gHp!#~H zv&n$2O*JoDHqMWE?vd=j1w@;~Ok#M1ACIlDke=!E94=Win#gp2Oouw$FudE|tJVHI zkPRz7`%RY$OFYj9*B4#`&cv8UmK;!@#a_Vxl{kVr0+h$cm#6mZmpe^8O|IcaEb|}v zU?QyRhZxl|;!Q=GLbNwP{zhGWMd^6Qs#KkGGK=JKYZhF93SIv7bn@e9miY|cV3XA+ zQ4jDpR2Q}_=o2(~-@y7>SBEcqz)g0#*xsF>kQ-~;=(L0es3WS9t+{(G@vGTyFse<% zqi;is!biZib&AbCz3)^@+(2SKrK%WIwDTRtEMQ!CU%GU78=RP`$xoGq_O@9w1Umaf z*`PFly%&sTywFBD@p+~(q3lCSvjvD1mgzV#h&p}1M><{b0$~{gM4d@bL;AkKPTzu# zTt=Cc8QGk9zb7C4k9h5u+s9`cN_6rjLRq5>GkW0;W)ImRBRCP_k=2vr99NKdjm)ZpbfiaQ0 zY?&m}o#Y9xr$?~d1Y%mA8AMuZf2O8FJ5Wyj2Px%Q#S<+qM+dac;!m>n@=-w8?VKRc z?@W&ybpl#iMz1afB2+${jY+=1uqjXloP7p;=19>wjp|zRh~o8#aaWXiCS|d3->nx z9tt#!Tw2s~?Y|9={WhJz(U|&KNOF8%U1BiYgH}4l5y{i#v)`f)uk2+5T6gJfY)%8( z{zTQ&h)LxP`N>ca)3RgAfT#E*J#i@GdBV#%nbEJ)X?HEN8dxt;sHwih|CR+-Yn7lk z=%1QtoB~hxF^0O5)PJyYB^j3?f`Gc3wC2fRd6a1^{Z=c{iO)PQVJEKTPC-y~{>HCN zz*D2(KP!1=^)rXWR*+Upj??bW)OT-|8QwzNzAi~xMO^;{tR`C9-NnusDuoJ20E|&8 zpa8zk*R)9p;;nl8<4VVOIj}gE9;WAv-vpU#?5-iuh?#f zLNA*y+pn3`;E~4wV9Aw?F9A#d3gk4;7uf?S0Vm5v6Z3)+pC|ijc#x%aAg%y&|KxOl z28(u}c0Tc0BV9_wLkag!>XDy)?IX_@%2lQO!8==O=(W4tX2e{&EHdlZ zw<|B|xjy)*Mm{a@P!ePugi`Q~SKFE3rTEuhQ6F#>{B|-8?MaG7srn^;U0M+Uc%_TO z&nV6(1d9YnD5aS)N#fbm>Fsryt0=on6;wnc$-ABwJw>KnewT^tf@+OT#gmQAF|&f{ z4ZBVX)<*o!Sq{m5`>1o+wFUI|Mo9;s?ulQYLKTh!r6 zmZp!d>FGxhrvuE2P<+28F~wkLf7a=q6<_+fHz{t5>P692z8;uR@wm1C zK(V#_uz%1`M6fGU2cye2e<7URu9{L(M2+qW%E@tQdlfvL)J^*xrf(YZ5MbL|gS$Yf z$VdHU2MACQT)P(Vg3}Y;I8`H7?1egfCyUJhqtLqFfVjo75h@y46K0G}Gn0sb7QWB^ zW6KFmq*npk8K(3BxXb1Bb^(|#q-7ETk`GAhdbGEx0xjtEmga@8J9?`>fmQ;d_nO@L zhrZS0IVIfk&yz}VHXs+Os}m{%XmFfZo6#Dqr)2Bs{2g#J&~9R(fj`2hUlh`j}xncT|4x*c3G*kUk4it zMt6O;N66S99z<19H1ptx^0ztL;=0AP5n4xj32o=I0e1qd#FL7yFdmDt8d(#rl!U=N zK?7<|n**!uQzX}lEQ|5@no5*?o@aTy^ohva zR)|5F?g%LUEjGd?Pp4xCN8%9Gx=M$)A#yVZWnw^A;5rTo zD}#@LUIO89D4}s&NDa&H;tADDgxbgQ40okrou}@%0$RYArnOZhZaRVayDy&~FG++} z7)ZB`M6;nd{5fKwbt1L9_tGe^Wd$*t9wBikyV$~%pRoAN;*F+_Py3X(2u57>@y9w)YWX!Gw8b zm|y|A;OO|g_9>M#J{?Qu?gSh}cI@NTX(q;Gkx&XF4Agh|zhPVLIsI~W=3zg7fOfH( zqGsI+N4ERzV=B=70B}S%7kzwNuf?Cn(2X#oXUxt`BiDPR>EUS#V%0h9Q|E+3KR7;! zE4Tb%!Z?1UGQh|nw}jSDlU6vhc=lRU3<9STOK@rb`r=Q1){jzN2&9F77$dQRUuQc? z7ZK7Zy+hV!N+MeY)w}jHCG_i=Gn?A+FLjt_`N05^dSK03&(X)H-0Pp4ldt4m`>SVj z#^h_ArOl0*QrB7|T;R@N51@dPz&fL-r)2SYS6r{2uZ&;-d~8Fo zcxEvP*q;}O+1X(N2YXHLG;DgAy^t%P0C@P8!*guzzTX$I$ZdZmeCnKI7bToSe)>0i zID@DisD#{+HC>%f_+&S%rzcitiwlnV2(?6V{OP5YtnjX4NXrVmNN`o_X=_$L3k54n3 z`{r+T`gt5ft$(_D72GptM4 zW~7BMgqeV&B}Nql7v05Cq(dMJ9Mblj$CA_l=wgn=MBwl@Xi7~3iTi_;pTKGq^+&FFNejm)gs~}{aP+nq(K!ln^`eh*vdz8wpaCp5WuHs zx&nRR=gKHZ7IW1Djzyl9v)mw08T60J=SJzuf1(bu!{c&kM&3hFFY-4GAJ~7NFZ^8W z=nH?Kn+wQS%1h8ODJ@5Ci>s>q6iHAewC9bK^O%!*t->JRu@3*1f#VbdSz98)(j7nX zxk>gMr38E1JtsAl1RP!5nm>9@bni%4?x&<+X9-(M{G%2*stl*4`(m+}5m3@Yg^@!> z`;~ZqWG#a&wLb#ph9_P`|6Xc^sQ*dssIz0(;ympz48JYcfAr{))9J8PM(q^8@WId! zmRpMzIiptdTE#rH8OpDuG?(DFI>5Qm3Q~?s);vw&x5M7V5V<`kBiO-rvJRwi))>IWD ze5)6hxnjZsXsX_%QAb8bVw0M3`9Lun!<(BwalLxfrb;_uEO$~xY?V8}1+&&9;8F-R-98fbeGZ!onA>SFa z_nQ_M7W}fasZUQYisKtWHT3U9P9}qR2}y}JEyeR@TeU_@g@rf=4wZ{Kxdp$i~S-e@f)@+L4~tuSpi|AkFR`098Zi6VuVm2 z14e^-zxj|%{2K7LSGt0K{P=M=y>YzWe=#W77*3z%Jg{i#S|jUm#`WcthKGkoM8TGlKGS;g}AtcB;>)fn1$~j7vefq)^n|K(5ew1m;Yq_ zMjp4;+mT|Ft;tB>_gh7Cm%Uv_Dh0dRZhWOt>emQ@J9|pYH+_|UV48wmzI^gyS}(Dx zj-@I=GxZwayA=Li%exA1$z*Cc{|?VV0kig&yQ|5I?Sc}Vt1g+y>t)Q;)>Vz_7xjc6 zx(qAY*mzL;eID7AsG_wk+xu$ytG~b#qQ|3p!&Mg5dwSs~@XYTx|Oi-gdAJ$04Le2EiCA^n7mN>4nJvhBv4`zH=1f+-&G z`yn2)dilAa2jG7ppgxDsVP-6#{(vnR8}E0M^r&Zkq8bHq!M|rR)_GD7Qyl1w2hX#M zS zI_*PqT*Hpm3jB|bG532$ox}C7MIBd@2YDh(ehW!Lv3RaSnAHl=4p=*h#}IdRb~;F$ zp-Zdbf*4b~(!Ig#xp$!WgjtW&vw!{cTq`@?j*FCQVJ4VU)1j5hI6Xo+&GDdaKt{lPio)bQzts5+ZpXXF7%vFy;j_&dc)us~$ zCMw8RfNaW!f!t^GZBkAyW)H!eW!EGw8?WV<4aA&Ll$_BvYY9Bhe+(CWI)?l;NQl6s zNM3InAguoA;$Vh%=QK&8?Fe$$oGy`}t6d02Lql`?tE*r6)HRU2ZP0F??~_Ah_qdTD z3qW$Q%QR2qg?|;XD{aXkqZ@j|H!C%7w0(KYnH`wa&xurl@`*e`G1;o&lVTzPkZJC6aTO844t`v+oY z?>`A-@oN~932fPLhaBwVHmgF%3_0k4p`qiBC+1JetyUOkYQ>!<(}JY6lk z<_jO&D)F%rSWm9RA3uc5cEs&n#y!*1DGwU6=dUb5xlR~+j+mIu8kv1eFk{DKkSoE_ zB8BW5-lkgC@@}2@EdyveK=WLV&y9n= zf&Qj#a%h+v4>_zVX)pm2>DHsA_9@_75fYG~OLzZFd#=olyDM7s?aD4#x+cdp!~mAj z15qg-!SHT8gRjxG{`s(Yy#;ex_jFeK zr^_kjmYxYEE*(2~jvOn=R=#+|9t~%mvMUUQ29D6Y77_NM155L^I||Bf;CBGUDN}i- z%;u$u|W ze+-mu*%s{>*l-EoE*Ck~Bo{w$P>kTWdYzObr2B=sd29EqZgGJXan$MJU>STPBur!2 zjlp^+o9a0_iRt$Y<4@Fmo11ByS2W*>6Rm%)+qSxb&538w?=7SKg*V}cZ(D-h=D38y zJ3(%*U;-vklZZV~4(#n;*~>!Si9BJB-4*YF-ov;4*$gr-Aj>GOd{PTFrdu%C?gY7; zj2A+i9N4SXIT&2skS7trz`8!BUV zj_3(t4!sWda6fxRa@g0#>a_07svCY}ZNZ&#k!d=28+X5AcYjjy?XV+m0kh%0KyccF z^;y$JTM5PmU-7T^9r{oey;;sV%6ln)UdZ5JZ~N^`*VH*Wlso0?Nq}~Q!0?QBGl^ki z)heY6G)uI`HFa#2pk(PjuOMiLn^11GFsFu-vVe4W*P2VgsE^!JXi2NmGa%cje7rEzqO zXxwIT<_Ie#Wn%{`(D^&wa+x#T(Z(wV`GW$`U)TVSFS(u8mxvl|es+~`d=VW`Fu(5R zzZe)@Y{ztSXf5HW8rA8f3ms17C2}}jXuZFiN4XgsD8MdxQw9j-CmpNOal3N1=x69jaH#J&Tm%dYfnspzh`NS7$b*(<;t^EZ~Xifyw zdVb|5#i-RRjv@7BzzNLAe};$ZD@U`owX-KK(WuTTNN{P&er$U}@x9aC_FG1s;peZq ztv!n-LDDr|1Pi#f zfNI0fE~H=lK?Suju?piEW-d6>^@#gY=Ao}Y-5+@izq@b-c4+XA6sUnS6HuR5eE3`6 zyf5Q02h%TYmms8)DTjp8iucu4a|jTp)%OOoHQFTYk>C5Bc0b*Fph9B1xTngi+j&cN z_VzP3`XN{+5q%h+*F?e7=g1@9cT$^C1vLl_T$k-znE>1Z=~EHQ%u!6>am%)D0;mQR z3b&@Zl4EKMJqTJoKybJ8P$e5xHA{HEYgS>QlU~@H^lOy9^*zDE-m{Zc!~SD``gWXQ zNq_#cf#J{e`rm>-TR;$>^ViS2jJXgE-klSY{+X(JU5*6%1RW4fQ(S3z$~!*fgV8@FPT{3P@_ zgHvrb-%9pf`&ZP)$uazK?r+5nn_Nt(sXNd14q!+OlJzh&Uge;m#}+VcMoXOc+$3ut zpj!S-=ei*#^o)o|xaL#1d^E}^QCU=Eq^Ec=foPu6)%Z_wJM*}H-{E2TDf61U^fMAP z`8fZ8fQ|18RkYi#`x<2wYbT+USB@Opr*H_SpmJr!nkW=l`mH_V5^7T(^|bF7=bUVy zxVMBQcLoR?WAy0LC2S+=6M1~;Y>MCU4=-<}pE1k+ZenzJH>lnAhf^@{!~0}hxHG`a ztq+%k(J(Ni%sgZb4e`ll4zVVRwfsSGmkf+f~F#rUwm0->pMqUi}ofp5h5GVrE}XdYt=8Ho0@ULi>+#tAwsDB`sZ*8yx$L zzO0l~t6|004)eEfkvdnnk63WA-?kz7q{6&~9FQzhpdc4}EJ2Hs) zEcOa>j{CGidSSMKL|w$syo&bu(rP49sX~>l3epGZ>T7S-+7jD0DX!-H)%W$3OB{-c zo6oE4-DG}k=I|cu?X7HG(p{vMkhd+~{k<~rALEWpqQ{q$eh5Cn?Z-euxqKU`TG{{oN_g|Zs$%Vk>=6v-Rmg@ri_Q!;TY#S(5 za0@5$d!9I|L>VhqiDq1A#`=o$tfWNK=Q%^1x8+ANYHOMG*JiWI_X6Euia*`8-CpcV zqT7`tjjt-rRuTD*sbS`VUrU18WI}TCp3Y9hBpIX5>zP88sb?51&1uw%TagYjIk*`9 z&$sIsMQ!Rd7nXSHh_q?&%0CE-|C~uGlgVLa-*9;u6fLCqrihj)$e2R@1;~8B$lGu= za3V#6-DnJow$?W8ga)q2Q1f0K;;HXNjtit1?+Jfs#sMF!y}x^pV9F*uF*=Jo4=Qi38Lj8uZrEC{^E@1lH;-I1(Lkz6FZmFbjQZ`1X|C z^^~%_V)K&Ea%<;_lcs;i@-wuTSdS@i0wK(4**H0)e=#gx<0vTpRS#TA7Z=@bjyg0m7pNV5s?hZQs|rH7n*F z|AIbv(<6U#z_61!!?X|Q0LE5SL~zs<&oneL;w2f!r2E@EWr84i-m>T7qE~ksDJj{;Hf5eI8PbRGox;xbbCc9TykA zB|Frv)3+kjtZ{T_rt)gO5^S!(C4=WUDPd$1aaFca>i3dS$?EO+Gf*Ym@fWa6eSK+g zT3%1U)BeN(nF@SR^2NU=tO+OqT---?;Pxh)u}2s|livbE6PSQ#7dJii{ZmI<5@1pN zb_we3-7voY8Em6Nevav`n0S@LGc*>~Gx>2rlbZd)gEl;eOw0Fo2-_|O^M@7@+BR^! z+1Vnx)4;&yDEMQUq1smbYwF+~vD+qoelDj&gvR4Ox{KQb=<-}0{txMF6ZiDsF1>)R zm0b8VBZn7*sXAJyeCea6ReE}Q@G1rDYt_-=+*N~lLKN@+Mi6gdVvG0al`W0R3i?j- zK@;H=dl&RQ5dd`>hco-wFF`O_c0>ZCaFK`;W;(EgyGm#Gu>Hvft5Y^U_;+A4uLZ$M=X1dM_zhX`!;2^nSCyQJzHeSug;zCy z#PNf>Hk)%a5ObEPGL%M!=0bUjsFz5yfI0?sQvn}|)kVS%&rdJWIMrK#Nn8}m4m9zX z#T?*o&v^8wSr$x!EXiw-8STnDUx;uO{uoQ33vz~O2#xkvZMkON*M0*|Sx2N_+zRjq zZx>n`yiMkUTE6XJeY);Ny4m<^wcIpnVcfvslaelP&^KZYj$5)~27gGacGDM{eC1R= zKIz+dqC2~|YCr*??hcUq&1=jRPFZ(c@*T#^y%{Wl`+Q_^?s%HiRPXD`U^zA>+`&eh z7djt3fc62>?m~`0OD>VFIQ%V#@t^06a1Q3?XrZC#T=rXXQXQ|c<{L9ogtqhMlUxLc z-gvR!%dVp|w(YZ8UslYzCbIH*3NSFB>y`ajP6<%xuDBL}6}>U*$Q6#PEyEIEpz;$G zbHU_4D8~W_j5optY7^z2>U7>Hfx68Wfw1I%Ngm_(44|-ORo5|e3(x>fmJo=we-F_A zml8yPT>9;9nl=;pWUj)Qqt)f8+>?iC2?TaG%3wBVoTH@crnM6 zPJ&t!=W$XQ8GCmx7jcKAd5di0D0Z{ZD{E zUzsL4=afM*?S*J!E&1_3;rO`J_4r1NC=`?~sY*G=)@d>VElvvixiK(WUNhdFba!{V zY!IVQAOkdLHDI~)c^)VRU#CeXkA-gN;zA1I=2Z+W9_r7mEMW>P*zwu$cuwXd&2M`G z3uSQUS%4Jv$?U`^y9iYz;_eQw2Jo%`(qvpo1&C4YP95PLpPVRUV*;QSk&$8CU{ZzT zDG^(fMECk&uQDS)>GtT-Yn!exl2=nh$x{kiEoyQ)Q3B>y`gO|yY8)<=-5P?9VS7Ba zKOMtqRBBBoaF2}TlJfZ9G5IBl!0K^8A?W@j!I$jcpaNtA>T=tIxe|-1VV%K#EKt~M z33F%mH?=ARCd~@pBdQp$ThPRkMC>2mrqrO~ZIShNZ)W#J=sJA9blJUHxW!Io-RR9L zDZxY*0JbSWE(Ay`YSQFUweod(7u`;XV;WZp3elR6Cu&dN{tLj^1BU%W9qQq!h47+1vy;*_|aN_tma^; zp&m|?RYuHpAC_HiK7W%ktr;9eA-z6qJNe0v{BTVGs>@e#xdYcgEcFiL0f7X-jqcq{ z1>_o!$iyk57zfmLcBa_}DgsxALL;o$O~3P`U7(g8IjgSu2{}FE@2-{h)`Rh^1!2wY zv)i_duh7Q{r~AbJ2T@-E6=nB*Jv7qYEsfGhhk$gqq;!{bH;AO9f^>tV(w!qB4bm;r zCEed0-`{_IYsqq8X72OcIOp!O?>^@_27)r-#7dZruF?$b{;w~q4YH>CWz{fVpe5jc#<9XhVxm{F;T z-=7i8FD$g>J*A?nv_;n+%o=HL8*x6viLFMG)f@@vE%2Ok8C#!KWWKUoD zrYf127+@H^E+HV)KJ8H~^`=qMhBQP9S0Fd7Jk>kDV`8h;E3@U;I8=Cb9s#lzvLedF~`6bDcNmDO5 zDPK6vd5K_>w_laalxpG}Yo5;yBvsy?-_ce_SS(o%CT*^4`P>Dk|JpRF>o)1!3kW*; zdtR#FL3Q33fOquyk%rEdv# zHVvY^yf#CjF6fUp`Fz;_^Z;|4M}KUbFTASTb>G=2=DyOtw!VYR+E137D7$X$cxTA_ zK$7}`cW10>hVg!T$);f=+!Tiiv)6VMO&om9t-Zjeth(pnU@xhoVR)yznXN#;|K_cZ zRpBM+bMG5DCMugM^gYv&%iQur)bZk(P}AW1g_-q`t(EV#exO;Ghab#X*_F;MqKH1V5aHAV+PqMVQFH6)V*>T@i zD4dgmlcfyTD*gwhN2Tl05x|9`WbD!4qt{p}6aF@XQ}M($KiyUMyiYZ7?=`g!W#U*f zXyso;fi7=7-0hB>U-f?)nw>1{U7$`d)qcf=tTZ{sY?&C1M8c`;ws82m6JA`*=ZVQu zv)I1j{ucP3s?(>08k5g*(bY4Z7~B=10*ew63K;0)7@qX;WxX(26T$v^(R5w~+u2L` z&hekxCY<{F0=~7_st5Lw<9LF1tH}(=DXBV)7$teT;2 zHQKat6b0QF)R(}d5ni#5?g)B2Za|HSs6izqEm!4GRvkL-5G6?$u2S$*@1p@~fDHOg zED^=<%P!OEEa%x8Xxj8dsl_%`M) z*L?B4-@zmJ4arv_QO?&CB$;(<6D~ExwJR1O(>qwgC=f%k?C|Ne$iemC>7DLi+YIoh z&wG?1!<*f6Lr;CChx{fuW2@`=a{XNJbV|tmGIm|qE#M*wvUKyRS)>#LJZ3%PTqqbs zo{IS#owAsm9vZFmjU{xVWm%Aj!~o%5jv-@WT113-Xh)64KRDWGGNhd7`t+niz7kfEg8u zOtn$P$mxQ%`Tp(%U?JWe5A3unKep8pzdydcU&P6XI4rX6 z#QwWuFM(Ro%ZXZW{i`pLn!=Cc3qPkm$FBX-Mj~48W1QpPcP_o_p}qKG7Nu2&k!(-- zxi(O9YJ+-{?n@#qYy~H+WmYV_>nhkiq-ZlkTp9gQi;CqCE0&@^WUhG_+zvQX27NoV zlXmvc84vB4b1nNdw~K8$lRTeWB`5m%EtI1ZlaOB}(s5{qM5|sO^Ml;yK}~kD2*b+{ zn2P>lIeu-U7x@ZB6R{$H5*T?4>xRbakRIlskHAZU*!T#daJA&Z zP4UD2bYLN(-+YyFx`|7Bcal3kGECKbNbMW1=4OH|D(<)3Jo80s(A1;l)R%kSY+Tgt z)#dhL#ya|Cz!L~v2S??yrcRkJp+!ih>B2{XR!$x=HQg%1ClQj_T5%KCau>Kvw>ARF zj`CLiws~TQTPNOz%ogch!&Z8xEA|Oc{1-m&F^8Ukt-IlDfAIxJ!xN2tKQjFf!KwRr zo#BahP@>%<9{rw3nr&WI5x+MEvmNGE(daAD#zBQf*zFA>Uu?wvel}vaM^ts6 zymPDh(?Qk3O6X_onbSV(G20pNys>5K<6NY5y!)R`0i7g~oYAOHwwm+M6$ITN3kMk+|y)q7^l%jQ!{61m1oUL(aei`}`RT5t4(1!AyQ(L7(!ossjQ!gB62 z70lLo7u3s=Hh_PWdB#I_bEA2iQIO3<1bhL%Fg5DM=ep!D$@(4peD>=3Qtgco4am&& zlEAuOc8>Sdhdp!ZjmL}4M4k`}eCrRHJUpK7FMC-0VbijDdOh3Z`t-*T&sXkO&jv5l zWc>EUR!A>u<2j~2-JTS>b?)Q9y>RHqpLb)$i*72iD5!_!&C`95ct+$#jTdb(E|z5F z_kJs|MzM~4z>h9`v93t0X&$S!1?SeMRIC6=l}aeAx|3|*2vdh%E#jcJV+48lzOq)g zCu46=%KG?s9?#+yimE%*u>1_Y@*H=8>{~Zgom=};*zW07rI0FOm&*_XRZc+D#1C_6 zov3gX=AK6>P~@t9VbON0xH5~HrGoN-`F>tEn!eT0_S@LU=-T}8kq;FfzlrgyXVBA! zkU+LP2tw;US#a)B0Z5KP4gH@~(Q-U2oicCc;tmeCO?erZ&ieKWw>8E-fn?)VERe{N?^_&w3r@ZgF); zOrv-e$G~QXx#7FG!Rge-iL`%s`B!zL_&954!!m|@t-&D`#7SaKXEzLOw--UH z!)2JbuWsD*QBv!DPOV}SGLPrS3rHv_hiyo+oA)ZAy%!S0Mi1P}pvVdJyUXu^hk~;K zbB+;0@^)gg)#Nb&38GZkx*e=%d{hb0=b8#@DL8nl+YauYzkCaH;=~F_!B(5fD)`&% zrF7Fmebux4hNF_BFTJsoD5IZq42rmEmk--R26vACiW5f_yG~}$|Ma+U4Xl)j-dLF< zZxH=PkvO~)GH$kIbr!|0OS<=Y+*fa_!rp}HWZH-%`-(F4E__C2X$!@;(o*--)SOmJGQHMH-8KMKm-A}(-26?itr)Z9{<=UMqYMnKoY zR{iIm!(?jD4o0=#JpY=UU~XN)r7(sRfLCf|Nd?L#VJ`xd^}r=_AMR?6#!4+_S6bNV z3aM<2qL3X)FPrY`(eELlc^cKvWfcSXT2PqOD_H)-o2G{?t+c%OayF_GfO$6mw#q0E zU@{7>y+{8e=8G3QV;UxL2$g#^3Yr+r(x{n}VPXY>MVH~fOT51L>IJ;LQhkKit@g}s z-h7!-rYlL0^^m{rImam&h)Lb%hS7^aJZ@PL)!o4UbY^7!?9ckT*?z~77;~z^-SueD zfGl;uyPcgKpNK|xw1}wqg&6+G1S1BPvpdN=9K2|HI*D4IzPVfxemQlCxV|($G(>nq zA6BuP(c!uh8U?vRhxknnUSQz`U_u|S`>b=-e;oJJULK!9J=f+C7EDPf5=!GT*bd_O z7WePNm@o{noijTa|2RAl$G1mWV>|RNGg1n*AoqrPZWoqHmH0Is`v92Y*-j)B$Nfx? z>NDjj8e;FkVgp=b8V2SxpFliTuiC8M96w^tFw>kH# z`czh4eT)}Df+YS3>s%Cc=)U=K5lm51d^nJzOL!_GSQ#diuEZ#1;3uq8r61vDJ(|Du z7F)(Cw#$sG*U76ZeZ8)9ALCdHfz^O1`QSQMhVDadQiMVm= z{&TiIwnH^*Xw2Rh_sLOUb{w-=uWP9{Z&Gw|A{ij{#XVqYFnB1mgfEga6!XA^9!un> zS4zRNa6H37B?}C4UcquIVAk!Y<>&Up#To3Jj-QmHhi=E=aFj-2Un6crac5{Ey8k3^ z@Z)fCTlRTR+T4XqMfzE_OVH1w^yS25W0w7fy&g3tk!oNWkG$tvZ-l=K!;n6BTK6|{` z>+J55uz1edfWqfa0w>X4a#BNEug3Yahl4-EO>y6Ia2=@hB!@Cs-7(|cDroAzl=XDS zJNZ{uhAd>;gJ3NG;?IcD`?rU?y3xi7MfNVA_q4Ov#s&X$h*>qf5@mFkf30x^971gN z)X=-le)=+-J~J+zYU8-+3SESz`eJWJU*w0i)|LJU^elA~b7)ds1x>$iSQ>{(Jg7za zMX#35;Wi?MI3HQ7!8$Fgdo+J}vMB66Tc7nVFz82u4eD#=dvhX^s<@42gay|sF9HxN z44^}od*_yMx9xu%S1ysC{QR&j&V))kmu~ms#~hxp2o*N4jFWizRrK|0q?}3`T*ruf z3pR|ze&@31BVZrmn5m?ppQt*b+8iWV6qQNe|a%4y)s)tJLoN)+47u}1D6P>wVu7(jcd^4$}kLY2U0 za3qN$LbqQseClR@qA14;s`R`m9U}nW-7g4M5ZK47QsgQu)@1*&cRtc#^4dl%+jNEM zlNNGd%3nJR+b7SCt6AV8Wjjf5>%MO~<^?7_UiphP?h-|h9$of2H5M2eebCu__bTd1 zU=VX^dFgr3;DlMaPViBE81?tS2)w8ol0a9k?I1=;qQ+O6r9oxP>Zt(B^lrZ0Y+<1f z`^O^i^O7l7W!Ak(o5)9`7ppczFvqiZwubNJWX2;H!5a)u{H-Q2Tqk_khTpF1>+1L> zcsGxT2F=leB`Jj?tfticWmW$Mde`xeEFrBT=6%IzopR=jZcvW3S8=IEzw#Ib{@5pg zGcJ=;h+9&$B0TX`l&Lq4-0x;5WZJ@Db%|kaP_yOssFT`j$%EKIhs{4wyp0MQ85!B- zV*j;an>QSw^_ra+|IN{_LVbit_+5DQGA*?-t;MZ+Ad4U}sjUTP5U2jG@qi6I z?Hs;@U2FBk-L7rUGQmREsYvG!oT1ZsZ(jw%)JDJlxHAPTm*bHAilUZ+1NK7nMJyTFf zuLpJU^(8}=A}%g2?zynHv%_MO4qB6Bij^^+6@#&)<=PcAM2ZlIs3^6mjSZ?(W9C9h zT^*kNOqGuI8kw zn`&ByfX(@w{>hbpN?K|JWnx;i>$ zlLN|nwPr|-%Cx!?Mpb{rbXikpn{1z^{8+Eqo3^P3b-ot2&d=>lvop|ImnE6mp7+js z>=B)1#DsvFQ=q~R353e|PIiCpck<6Jj%eyX#RwRmUx@vfCR{z$Fu~m~oqkH!tO5@x<>S))d9eZ1-C>sMaW*od>@!o> z!?u%`XDKf)ZyMERC45-t5Q~V8j!vm=#IdvYFujxx^%kK5_VfF#;N8Q1{BW$IX{4Ty z;F^;dB-D)W+vfZ5+YvrKf#}SSk=}3}n4oF}2FHDET{uqNESLaup)B_5Ds3a9xZT~| zrdx2!E(y2h9~6r8z7_{|SIH6)f!WQ;S>R+yZRyUWEcq-~Js97z%Z++9NX*BjEbKC8 z6E^rq`9=tse3GnXF)FR%{2ZH%;Wl~HOuAvhQS4;cNCjhCz%-&)n)NXN!WyqcOT!Zx z9K9#r^NW#$4(;`3)!Q-C_k6s~D5muOYE9sL5xZWT`lB0HQm#P_IR9t1R5{cL#iSGg zTVz$S`nlCC{pIh?QrP-%j(uGG0!Y3J9FL!~kVHDKm%C>4u+WBEkRoYGeoxvux#r@w zgr*F~W#jT_y~VYlgYyP!RUA?=6c$a&RP1nA7zO{km9Y}9L5E>X*dq|(AvfNjWS2ois5XXCHhWP3*NrIF4iX-R~;n1$~5zO z{dhld_4pBcB8sC=xw#5*aQVRsU@Rocl-_YTzmD82KSp8%JhQimv0lKM|L@D&wbSd> zbKhn>t6{cOn^K)s{v}3t;~{@}F-f^UoVi6RPiVu#^@<5L$Se5_t637DVOp#ade26D zUP2O-oMSrTzT_H3{v8EUq?N3!0tCXz3#*-YcSOfMH97*I~tvjL`fb7oML_ zcYN)C>@9L=&V`By4|u566K|Y<2dypw6yR4I<1*>T!Yp5nCoDKI8$k@f=i6T2QKlLS zq$(7tv8LjnBErmO=u+D;I}oSG4m-AE#l@{S^U9ia2DHlw8z2Q3yaMpx7cx0ofro4o{XK@v^t zcAoq2$U(J0LQUXlD_GoMi{{TJf}~Z@kLeO`Hd%%zUjG|iB%6Buk!gN#kDxL62+ce- z)K5wSaq5II{h)VmNFpKSre-&b_Fy2z~VnM0fFJzqejx}i-pHrGwh*5(&AP%uk~@FC$F7T5k_(sZ~p zL`Kce4j%x-lKt0LwQUR9Xz8RW3+71ECKpmNZmCBqGRCd-6K^oI>Z9bSh=611+ByY0 z9OxrhFx{LO;68M>c)#gR1ITjVDT}ylvxQCBL4$wNT-z9)*dGngN*hi<6U}+G;%Bp} z*mra(MS~MQEn`W&@wJ;r2NkJHG(U$ta?3bVG>8TV0(w8Q7bl=wp4>ExqlC(W@n7$2 z*<@=R`Bm*L@63!W8P1}KR7WiaqWY^aC5l@RW(EFLQ+7a z4W=i%ZbNk3np8J1-~B`4|tMj2*3fLvPkehV~2FB*?gVDQ{jboO7%;UqhG|h z8LQbjy>5DaKkh39hzWYUC&b)y6RJ*)b!;EHxeE~S&LkNIo)q_aIOMu{^U;VmB^Xlctig>@B*OqJfg1sH{BA%nnL^C8W+*jN|EY=H6qG--z?HfvP={Lf&f?3WlJ-IgjS$jD%|ps^Q% z$Vy376`)dpI@XGZ!$$1W4EbYlxMhE6i_}eF0rM98g{%1ATKW9`|jW(6C)vjKD zd}apJV#kAY*&}Pl8_1vzBEnpsM%TkZmb$>ASW0TDDS%7}2na6ChAyp3G2Eqf$$21J zVPs+&Us#BF{~itan7ce{_{a~R4EaCcB*AG7egpA)oy<4ZQiV=T=JzsPVTlIebj{4Jzr@W#9oI|^qj)jW*^M2SM!jm+U_rPHN zH>oZTa`!*dHq|Po0T?DBBkSqvf+#2`0QS^vsjmSdHwD*m@8MZq-R&XE%CcXJ-fWX|PoN#)Y+WU;JO>!wj{07!WmPg3{8` zUe|SA%#1S=2#Z*of3g3y1{Xtra{mY_fXUTSv#M#iCZCv(@sNrP=m$ zb-$j}kgQgNlK}?Dfgfi0Q@j+$FWwOiex5)Q(R}o(ejGvXJR8#uC}VImu9$Q2B){Wr z9bc94C*dS%W0b0i!_YbE;8QrYyg*o3gj4qp))EYg`$WJD4E8P?%uc~8Ih~@GBm&sj zfZvj|%c|&K!8{B2?KdIpX2C1^YS=#d-`91L=)lK_x~CwBnh3VkM^*EX&TsT#&*{Pm z(EfdVqKD@^^r)^dK!j%w``JoLHxaHR8j|Qb6Zl$M@CtwDM!+&gJy;etup0-LNo{y9p(HDB|9W0*iC3gnU>z#o6rNNvXlSmmO{OnIP7LWF%4`*dN<*V z454c5)308=LTl3D?dH(6Zg8c4p3PPQm%}tOOhaFCS6p!%=Z_kA?uZ`f2)jXURsSK6 z;}Y3mUQjUOcv0t_5_Na;Zq-k|*uwrJ*8$9u%*;%emBS@LUo zU-5W@e}*lchHOyk2Os=+)3_4rqgws&n~C$25^nWUryoBMqUC2FrFY&CwzP7*a&WfM zOBfA0bW=~**>4M8p%5mjZO_k##}2q2Qa>8BAcKlOOp3n}HKAR`A3CZDruItM&xJXX z)4nSn9`dY{W}dfHNk%3C+j*rRPxK5EQ@wXFpjA99?Rlm-)#*h9I&O%Cn;VbkvYYBp zPebyy$e`QJ&M3!<($X#y(W^H<&8Y&n*{+-)XT0bdO59X4`T0xyRWUL5G&`6YmTEaM z!XAH7`ltq-uZG#^b&6Tt=0`MVUGBg0QH2~s#k2JBE>o~=l0Z0(lN74=lAS6}CV7Bs z$B^mVJoxM|I#G+0Ma<0X%L_ld0-Yzc^rJ?UJR6GQvHSAhiPriE-^ncJT>9MAX$b7j zLm(Qo*pEfE6dI=9;-S48P;%xOEZW$ zqX{fSyoY*usD4WuC*Y|iX72NG$+|xU?KUUqbP2#w!|xW-@Mp|9D^a9Fy#9iQN?|Yq z`W-!i$1u-7)dLd7*3@1tLG6?~p-F`@&u{_PrihmVjcSt%v2{BP#4YB6*^nH8Kh^nK zVv!;<&Y!cJf0mcX>>n6Zl_??Dots(+Y^+zOEan(HX9=-c;3nwPXopZVw(OrE%97p) zdl{a66=I;`SF0q5>O6EGd8DJaEvxdELXD1tnPVcxEr^r2dTkkvuDXKxP3{naSD!s} zE_7fp)E(~$i3VaQwbU)ASe-ps~KZ@{u-m~iK zHz|&S`tnqolTuMjzLJkLkVa)8fZZ`Y5(wJf`nU{AM4E!~xW~<-`+b^Qs(+d+(G!?( zB)=%MLqusUcJg2yPI?7S0omq9I59x{{=RS>Q5IM7`-F@+teKd$

r-N_z$th``@& zY2z>SuK-2x-+lDm)Z32*2Jy5Rn=GalzNl0iQ_u)c43&X7h`-Xd(RhxH)gUwnz?kZv z$D&8M3#6vNLNWY$?s)AFSE#`vZALb!L?^iKDUgNr4{#yWN~3*JO53wyh=WsxIWNh- z8PJV^;X!#Ci}iOXP6Cvk@A}M`wONn7?ii2kd;A9|fs^#uF&&U;K7 zrCQ!mT{8W*(DM3gk_hAz77kSG#M38V!Ffcx2~o-){X>6goL}cK2t|E|(YpHxQH!gg z{!5y*RAT+>K`A?z*Kd~)>*VMS7R9FNu43R|!zCZmyVeC%WpEG>H3P1R`s{48Nju`@ zn`W#IN0C2!V$EeV@T??^Yfl3ugMX)^D0`5O_Xoq)d!ap@@zo zt!S;_fW~wL$PH7L0KZL`9$m-+(e1~U4A#|0XBfXUIr_-|s{RU$bFtNnXVJ-dDzy+G zJRl8!`GsDa72nJ+3`@iI7-cW{)vGJ&N0Ik2)@BK<_ey(NP4*j1O?Jqdnwl}(xj$mw zhhE~85Np#DODk9-q;CY(`Ec$S~ zI<_?_rQdAud;MP+_JOzKe#Y|xBX(4R;TW8H%fNR)iYzU;6qRc|)Skcvo28;nTDeUn zvf`ksY3QVd=@iAIo#RW&5#8++jDM_t@*GReMAyz~Ui7N*E6_j}5b=xg&eQJj&=@zL z5AMF*hrY_JI_8h!* z1#C{k5h3f8D)1La%7SgS*qYuIot(ZOd_=^4zeW1}_SJgUU{d2$hwi@-&2AF3?EaL! zS2Lf*X;AD6eV<=56M&?ZEvL)aAJkq#WL8U}iN(PvLPrsFz zABp(!;5nrG^<9$`zDK9zYk6>-^^!F;pP=jD)vKl}Yr@hu#IzAC(;9mJzJe_%64>T1 zcF{B=$;rcmP%;_K(n>s8vtI1us>?_d-j}v(_HXRNpEY&JqjWqdO3l8Ie^gtP2NquR zZ?XT>r0M_5GAA}_Mnm{lO`GCN!0Oo*7kAd;%^9SoQs+roFiI3Osu8*P>$J3_9M(T{ z&|_z}W4kWQtnZk4|0fQT4-ei%&bXL ztRMQ8OkUH=Rdk=#EorJxK_nLR(-YR$d0ZJjRn`q?R|~D_3Afa8eQhM zzp(zHH#q{QMs)rv>~3Llt=ErNVbpyGm^b27K_X@g<||jo?)CLt3D@JDcjzFufUMzQ z*{Id!sLF_|+T*V8$=%x3NsXh2hsV`GLBc?rib;^T@!fdBKOAv+I3HnjaKM!i?Wr0) zPkfM#SI_ZFl)-m&Cp$EM2_N!~Vd5k787y8%Y~$zQ2@A1Dcyh_6L0~>zo;v4*^_nhR z68BDw8vfxJr`Gq}?)&E7kI<9r590b2tZcQWy^$ZG%rU9BP{7V^Tr4;z_$XZ#DdIwp zeeRlO)=s?D7t%*WwRLp?l=)$1xo8fq7~FIu_0zAsLJFis{M^{i4y8McHU$p?pvAFx zL02qPrgY3z>=AYP}8s!cGldJK(-%C5ePHp$T>$!QM?SQCWLfYAv90 zdpJ;$v#fh}5afHR?6B0rx68$t{A5N}hA!T3tNjv$2>Hme;2~Mr%ggV~onqtjgWf>u zD|BA9|B8q?l6Eu^y5!{*q40S0zT~y6E%aBF;QY<^#f17?zxHsaH#_^IY1QpS(Z@@I ziU828CXwQlANMDv($aTdxIxpLydt0fiU!P1CfEAy?i?szXV=kAbCt$UTd5wPN}|4| zIck3td${#_I7&%q4ba3N@y&k>W~BcRJc=-r$*~0x&Tpjdaz<1gzTsPu|AR_FOFkwa za3t$~7bN&j2pvuov0r^&;xe(mf=4A}SXGRfbes=m)h2pLPw>XU3{9*Itz+F1-S^%P zq^@3UDiB0pC!&%Z`(WIKKo*U~i;dvU$SS?t?JZs|qzpH3`O|(}S3oS@sCIbuU89R_ z^3U%Um=p0~TmIPO?fn3uFb_~S!GABUmYNdEFN&ZvTH=8&yUh}!pdBi2E*=iKqa!t( zcRW^9ta~d;)w>$6ZQ0~yM5@5x28}}srN*Ek;$p_*($mq3rS$0k=!&parChL4z~vn< z$1@5uc*Mi-59ML6c5$AP?^IliTRXO_8UTN>Gv$rdt4pPBZdnmp=XNN{=78TU+jXxD? zT>7f<_~%+TCzHwn>vK!f1z@iL)mU(oO4F(LaRCYnJT-wmJ*I+O|C!lwV^R_mtU_qL*SXH&bNVI}vXF zIlTtdTq48AB{l*yP~{u~<1LbtlL2uAfu99syEE4H+s?KgLLCiW+>dfNASI;h+h$Ah z2wC<%UJl&aQr;G+E=Qs?PE0fp{=L4xntHs={F0UPLkSSC1W8ED1xe^4(5W_P{=+8a z8XP$E%lpQA?rIHWNZQ)kAG5Ou&fH!0|739EcDP=$-ffRY@6F15-MZLqb2Ml$BFp~i zf&bR&$F9QdEOu2~@5ZTR4WEUWO_?SL{ldWZ__mEzp@B0{bvz2`Md#K&9`s7 zrlS^gfJ_8j*T;+uNg&MM<>nGwQj1>kEP289(6CFlSlOy=`x0zqT%$$AJkt#kE>~xp zhWw`LenDN~mncf$986ww|HB^;pwi^WsXils3%dEbnW_OtHSb?x0jR-2}oRFe5R*5E~&y1;`IcQv_i zph1<3jy@e9Pm#RSWr9z=iKvJx+a*PrPR6vJ;_GI62 zVhu=yfffoGBI3Hj2()$N`nwfCf)W9fYizXgckiC%8ddi{{HljQvSgxR!W7L;cu4L{ znrn6aPW|jcVHl9}1bpv@=lywDFq2kL)Pc6LG!t8LVOb^lit%8oS^~%zE(XZ7%AFz{ zK?^dKLSL4E{)siEF8)8m3bqbgmPpE_u@M-Az=t~XgL9)=jD}pez*q|wWhAXgKp}pVs>wh>^=HY> z@zCmeeh4bEUcp>^b*C>H?2Xgv&Y0@Xx2$C+LqKLN6IDW)G~Rd{OgC19G-`mdAh8Nuu1-N8OiM+bC+d|aTJd7*juB#oKrUy} zIu-@AJJR1Rq8LRce&LKi9gJKkAif3qe8dQ868`W#-fkuoO4=hq$mavfwUMP#?Osk^ zxIG6>G0@-V=hL9u>|eb2Gk{2F3~0SC2CiQEunVq4qOBpO#mLfZF?EulAh7a)SeJsT zvt|a~48j@cr2u`VDc>dQ@-|hPZqN>!K@n&HoEi~OiIzIO53H8IDYK^b*wr&S7!+%l z{|4%F)3xW+;gK-eB@iX$t+eCvjBKx1qeZ`Kjhg?lYJLc2@Ff_KZ+v!n>VqyXo2l~Vi@Jo`+c+z5|3JH16XfTzZ=N#_57zy~ z;%jn|z+)X{-3#0x<`-=TH_PfGUT=h1Q#3wj9;6Nv1L-Rmy4>zc8cf6mDl+a$73^%W zzb}C9QFivk?+7DaFkrnyd9fkwX7{6L7ECN3N91pMs}HWjWz~?UULlj&5s97ybVDw= z9+dOs_AdGMs9V0WC;K4>oLDOK=b~3<9~w`9{wz;}A%RpaJw|4{+!ydFxGxq@kWzHN zNKgMg2M>}wfm4dbUTq(lsa?EdT+VtOHWa_zORn)RT1g`@nyXAwfbZ_PBSF1?^^!JD z^tV}rLeIS@v179QMC%`wdo=ga$QoqF*Ask7*x#ypLw>a`1$u*-GeBt|hVnr`L@B*YH&3Y@?L22T#B1~st*!A9 z0j-1bNN-EL0fynjpK+Ou_gu1~OAWvg$C!yX=)NO-EMr3N3!D6FNE9RRuXKc?}xT4_u1U3AdB7LV1(Sc_w8tj~|f&zKYK0fi-s5 z8`Opg5wIn}x+TjwpzNM85hQf&WPs<<*P=EZy5Pt&-LS^%5YKGz$12*@2GUgXS#6{O zS;`BcN*KRJi{=xm)j}^RHv9T7`m`dcLSKR?i83Qmu;YSctauii@dDnQps=Y-LDIU! zo)F3Nh8hTe`nVZ3xxq(lya>yNOO6b#ug~|dnsX4|T?fW~ay0E`TfR+W8;>*tzZf3X zOxs3#i=KV7Nm!IJ$@%Om(_ZD#0592=M-w2UsK*!HJYv$!XGr&LI1f5HU48@6)@~{6 zVX@aX!q?e7>@x~55)fKOQ>D2lo8pbh!OS)wh#lc?pa(v76ycd7(EpKjZ1}^&@B^fb0Kbg!AI)> zrlWhSAJFCYz05?#@G<$w-UiKoCnK)PbHp9~2@3)Cf2x-#g$cG=9Eq%bLyW4QhfVK9 zf`2j$uVz*S=E>?^6V&CT7tI|mYJD1Fitv*#;%wjGd@C|>{eJR7YD11*}_ZaX%r zQjirqrBWQ<2e=k=B8vrb`{O+(u`nP|jsc)%Lx<{pl+n`mmDdF zS%OI*IcwjVxt%Qo3ph$~&Dw1lxDUvae6#Hl-#J6=)+ru1hTZUHT{2>8tl@rbsYAfe zDs6g4rbrlMzc3iEyg7i=I&z;<9 zQ#j#FhYR5#6~S!gGJgHj)2yq*j^Wp~)Pq#GD^c9%<=+x?nWqzxdWP!NVI%uw?ezH_ z$&mk+XDcWC<2}P>OfgYIQ0UKZEC(Bv zX|A(AAjX2veSH^>({pm|-|Qs0{Nzf+d+UeC;!+JBq{bYIMIrc>-hO7GBS5%tV$~uy zd+iD0`rSn0sAJ3)NYP_Sj{%s+dnb?bYL7UT5vb3-U3xc@;#C@5sFmyF9mfe>An{0n|(JEGfv6fTQif zX~0kAHDgSc!Ae%@w!ThDCV5qtg4HbiAP2uFtCF&N&q3WQf-Tj)gE~|9Z`cJFmZx^G zQ*ybNr%jp$C4nrf=>5l#tNZ?hlTXEbkYJdCQ2c&Jb{HR}az^1l9-2ltMB*yKl z2P+|-X%CJ!NLe%%xGZ)TC zuLU8~NtpQ&Q~fiW(xXHB_**^z4ghHE-F4sCju6u-|9$oA5c6s{;-SV;U8?rdndCD_ zP6InQvP=x6EC;pv}yA}?=N zn1CMGN%^MPept4bsBQ&-eV|(})fzO9OjjdRAPu~jWv38xBSmSb?vI^}b8fpSX$k?` ze0)ofnuxC>>HOJz;%L~=#{o1k2!PN%zN&Mici1A2GCg|-wiC3$kj5YC#n39TiK?iM zVhR-DmT_^b5E+L4@$lD636r)tLk%0wB zWJMUj7{TXA3FM{qidf>W`2xX`n;MB0r5q@8C!RNM-oijfZ%hBQe`IbtMuPo}>v9){WrOZVCjcm0^Ptj#i4FB-(DeDCG zKYbURQ)3Lg9cqW#&-;5}@q1C@t9hqmk~jA^07tFdA9|f0RxY;t@xO3d{2uTi9(WBc zolz}v1Uik*$NQtN^DnYF?~jtl9pR(tHc#P! zl$=%jZy^<_JqUnphC*N6pX-0#CR=}qh1%5i?w+jzhXuHX*>(5cv^OCkV0{btx1hjW zJc#%~^<)2hVN()^sq&Mn!#I#X%W=qEk_TecD(gcqDoKa+sfvg;llHYG@+gpPP7Eve z!AV$(wPQH~0y02h0Ax0R$*XJtqEZ5Y_n$IWQ9h0=e}s}e_XL5A)3Xy0O1rcdoGd#R z%u!LKFAAgeI&l1DRd!wU69OO=q{W=b`5{#4=;27zFg?DJ^;+Bj@dHQ}0+SB@fpli| zp;S_by*KBBt3kJXXF-e6!Uj~*pL`i~yMnCd!v|07uU404Ok2jo*bPK68m8a_p265p zq|v?e3G)XWjNUK_9gKuw49HdP5pv+w{Oh}eY{xe^5nPC6oxw!gRN0$w}69Nu(DV`bBfJbhqxynP62i*|n8ToQCPpiB6+H!ddZ z#0gmiTR2OCqtd{WUK}uT6e6Y&9E|X41}>FxK%W3ltP@2JT;AI?fwao=>3=KvB3^=) z=zr+2DeptpGpld2ro0QyTt(3XMXdCaRnQj(vnDiDHsu?v=zx!Ey5!0bD`2q0KJJd6 zT?_o_&^wME0OKaa%w1+t$Tc?36FWu7S;G>p!t#u1alu)>CuaP{xUNbbu)DbZrWt`w zrJ7z85MYAXDmU?6lf4YN&iK((&o&EG=ScRW@BQtfXSM`dC;t`iMzKkl+n-jhP3`_R zr*aQ9(u(11eN$E#^(||1i)kFVnVOP-kA~PJM)44)LYFF8rr->(RF#^RmMg6t+VSum z{xUERV|haR*ydM>yGnHc*^7<(8b?b7!H!G*Ah>8wf6R1Hl+v&>kn;Zi{tXE-cwTN- zo#hbV8P&A4BQ}T93qU2BySu>EPg0a5R5@r1?9UC5)m^;<`YaYMei9!=C=rMaEn9G} z?M_#))DIIwvLAeW9b<|>_?2C(@8tgnkaZx`ekW}F75u5u(>Zt?Z(neaCnImN^mvYb zz&>)qHpQ^ouFd`cMCY-lHt@2*zWz8*m5=9Q28k;X`Sk?1Xi^IbGO9nR0$bg{HCutH zxiQaD7+IVoopgat*3|5Z+J0iJ79g->W>&owHiALvf-)_;?-!z}s6Ux;5f{u)2j85P zCJR0O;hXk8RJ^2LqJnXm&z`76A-rvdho_ykK!k*RA@Q%p5Syu_N|ukoOn5jBGWSwM zxM=W)|LI_QkdZ+)H8qu6RK#!+O^Uq5VFG1y{v4w>p9lm3dMQ`w;d+j@1LF5&tp;Q@ zC7bxG!wr={+(Jl5i2kcVkL;VJ_d!pk2@Oc6UOibN-=M(VzrI-HbFYdBO5KV2NX?$I^mP z`$fHG*^-}H=w^n$lP4u9%ns1`Stexd4b-|VdAmRv9ZD-ATjbt*^EDvAtribQXXm+s zO=kqUsQP6h*fhz&R5)jWC~nXUdaqo+i0x$*dJ_3XcK#gjm%T99>;H!v>Hz#l$s8)uA>pQ?ZK9l9Lsr`}$s z$brKx6FhahECiUBQ;eM1i}0|DWK>s}6fal3U|iURwz3jhn8_$zsR>tl$QqK0eM7@fSAi)PsE&%zn(Nt_(mk zra^lxO&c8K-z-5~iHt)*>;o>5aI~DVnys}>_IWjw<5sQ};3)E0>}<}})h7rIzjR?B zo62!!1K`!7yN^QIfV+pGAXo-*b8j%z%eiNWaw$=9UH1QVcP8FYfBzpJDoa`VkjN*r zkdGx>O=M_G zrxHhFTHd%80P_K+YlsgL&9#5|xs3-cJzy7j8A`Nuy$50Jaln=$2>FI%o!B& zVsGoN3b6Vs%{p%rE z1#xQSDn$-8OkUBq(Tg2DEax#j>z1m2=An_CL5vllIxej#$sV%#Lxh@l)^b{^HDuEfH7Lgz)ZT}gcpJ1jUIW(dS4aD3lH$xTPRrfx9TN8#t4z%0du)JX&xZdmt|(~c-!a{AZiUHuFT6)AGozQ^IGWdl8fkMB7N(DiQG9L&&ZZcIO-Fs>Yh?YVW( zrh5)A*vb%OjzDMNN_ZhyEtq2+Djz02YcZ~=dpR~UH^IJYE21Gnt^8 zW8Byb;Lx10*7Jptvwxvxmf!Fh$ahv^Tp(bUPqp>= zO$OVj#=rTCA^R&`>Ax>zOokOo8}2+D+(jQb$Q`5wq5}V@UfG=dbqF{D`%DC1%w$i& zj^(*OxF{`Wb9-+u2m~Anm##nJQYGHMGTUo?M$O=CmR^GoPobMQ*cp%gA@}C{`Pe>Z zA&XO5Q~IZDbA2LoX-Ox-rEcx)Esi<+A-$zKeoaLDy5TM#mQoZ^I&jYH`zREh9#&E3 z>B##OrDQBRa8c!`h^VNOpVKMggNy3zVvNV=qap^U4(gZK9@2j-UfJJRIyB5*mPkPl z*VldAO3}LfOG*5_ub&LFBPlyeb|QH&VI=Bv#O@WV6?R0#F9FN z5Mqx9H;4hvJ1kAehB7qEVmwvaC64V7ptvP7mgHf}+mY2|Ki5(CEHl*B6)zx>F%S_Rt< zxjfYR<@5L#6)c@2#=Y|OQ*(4fg@>btGWvb&$IKzknT5*=sVGmoK7laHO*5D*Y_=g@ zeeL;+ioFfqT~$`pGOTK#hQmxhX)edD2&r%^^6cij72R1r&{C-y)>>&VOH|caJG&;& zsyEbK+j!l51*<<}-fC`^e4b_I{HfaSgjx7>vzX)pbLgIi$}Ja~%x+3L@j)C7Ka!Dl zH|l#+NF`?Tw#^gaaDdKpm}_0NyqUoP(Yr-lkU@_Rk>xEiH7Sve+b_bFw21}?7fUsp zh>Udb=gZG^MCf!f{H6xRC9wC0Zy1InZ;eZ5-OVcdooQZLq$ho6@ zohli@DLq~A4xXhM;G-ZU&`6X%j-9dQjpR|BDuJzn^gQ;JYsgX(2d$C5Aop%&?5 z@VIQ6;=cnZSkurW5FxnS@{~ww?cL%sK{t5cCaF<-J7jjgJ16PuYl)#6@0Kdovdh4l zjYVJ3o@2U6;S2NPm*!2X1;>QqhQ`J!k@bL`0Uzz1p}n%~oZ9lZq$m1>2nm-W@SM=FPfDU3N$xF4)^$_T|#@Z0O;jl=D2 z)I~DPt;iSU;bFL_!d&?8cZ5TlZ5YC|QT%9RWMo%TdheVkp@<#q0~$@I(@7mj5+S(3 zX$%SojaYOULJ1v=wk|I+;gpAEn~rcHf&g6UD0hd`w+xncPQ$XnZKalo)cfOSM5M4 zUkL>FBfeKRj}gs@9J=fr@L?UEpDJSmBn*m!#Ied5%`m&4q(-a|G^FMBKlwp*$m{_O z!&47xR_ntzy!bf{naQjVRNeWZmBbz+Tg6Q6KFrI@Blrh5WTkxEhDrF3)_t_zJI=7t z)~vD0d=CYbDb!{FAdG0LLZ$D_2kl{t@<+aeYt}rpVH*iaRe-(S=(z-jGB|v91dhRA zunQB@%dBpx*?xkTx3}()&8sBKXU2o|IV&>-$W?k(6qR&o?Nl!J&q^QJ{B+mI>+%*k zgB2amA}9M0P%_q39{#f>bjs1gdkJ+r2t!|-sc{J%qd9Lsf(&QdBaN%BVBQqY?cY!7 ziT}4{b2=fEl9A;w!FJ?%TF8(QLU>5rz-k?88(ke)x*GGSGVvKOY-sZ%MFyK`QyK?f zedc{xqkS=Arr-%XXE=D~!!b$ zKVnMFv zIN|c!gug7g{-oK?r4=5Es7(GB^(xJ@33nBLonR8`v+&>h*rZH?1u87kO0<+Wt-sk; zUL<~gvi%W>tvB9q55XWgD`CgT%2HZEdO%9>yxM*Dq?xJdn;i7U(wL;Aq+;eh!bgt~ z&Q>Vn5+08qZH;baV?{+pujMs5K-bofS5c6q=jdvylaGXlZBy?^2oBk(($$`z6!BJL z!qD?A=7smd#z@w_W}wV;Nd3F%(B6Z8V2JKs2t;tLc`r35mD4$P9k`w?X23V{twzrpef()%z`39K5 z8Uh9LOS5hP?CU}T0^n0`=jSeA zgQ3p_K66UHu#VE}lbq zO?l(3)O|Qs?EA!$_B+>~e#>wuxxv*nYN;b985a6GKWeXh`FrK}xR9s-;tA)qs$cb< zQ8=$cELU>yqiy2(A;zAw+69H*+3-550b4I%Bi*T9n={;}j$br(ABdw(3n@ZeK}M5% z*#)j5%j}h&yP%7P zXPNZ38Fa}P6F+T5l?2#UKk6^iF3K|0RAj&v&tA6Ot_>0ozz7z1$J{@ByNCbafy0<#(r#4_Wu_E~als&{O{ zJq;W={S71K{M{wjmMSG;@UC2(ORSCH&g6=jMyussntWJmEBZZAJ0$|q%K3&FYW6j5 z#-AM>xj=l8Iyl>t9J00$M`+L`VN!(W$7V?{moy-)$k;hc{u0P=R_@T2ys2HP$EAEx z>$tk}xa-cCWuKWpnF}?^Xcd`0k22@i9&TIVyN?4rr9)whCG*S>%xNno>tb|ZYt_p_>=8nl!{18cVo~kDJk6{DBay4(k0#9T>>KA-AMP)>>2$1clX-s z+WoNe1!mrP&wJuI_qp%;c_vU!<{dgJF)9QCLI3bxOaTIc&xJtXCLSY!Pa2$@t-&8e zJCP4ckHO33u|WU?LIL?8CamO?v^($YH0t2RbmwSkk?>Pmg306w+Yr8bM7C-2m)amQ z4aYx0UaY^9l&9kPTjC>ajJ~8k8{!|l99Y?kuOJxXZgK5)uyOb@h+5CuK|}iowTQ|# zmR3TM(Zb@%>miONp;2m!gq?%%h#@v8hl$9E8$TvyoeNW{70xf-)Phz6GCR)z@S^$f z7*`8I1zs7wgmQtfPx6@4aImql=Ucx^A+3q1B23{Y0;bLL8M4=+kg6r5XpCT#2 zZj_)!%Y|K!QTks40soo|YHI6#t9d;rn0EV{=s;f~5b?=D9dcGUf7tK*e8lfzG;E%| zd>az>l(46A6ecHgmFx4`@9k06Xf*79nya&WHS*+%QJ#TVq&}=C3D1#8NRARy@1D}j z7>Ugi(BMto{AobTi?N?V1|2iTAmn$T&9_E{xKiVuTeu+NHZ|GM6Um=G^lHNcGsO*D z-5xe#Ssrb<2;(|RtSt9FvKggKWV{B)KCMm|o`Y@`RIy-U~=2dtS(NlVHW6&9Qh>;V~xe>h0nJBQ>lf`vOD*342R5uHEPC2C6#>&?TWQs4!{ zK@bQSU(~)3`!_!Rb+1RPydFZ3@pj+RgaItcQGG?445Ehy>j$!W4Ss3WU~gNacrXPP>YFdOJk)RBE!%VH z)Tmlo&|nEArC`Xb6SI_;N2J6)@*fWxzHlUjSb8xGVY^J(=7iOQ#)gL3jlRvCOCm3)WyYyvhJ18`5sT(DlAZ0KHW zy^1(J*GunzWG9PBzwst@FXr;HH0uL1O|))CC7!nP8n(gH0a>}cK=^-=D4N9Sy?OkO zOjtf*X?kKJ$jl!T0rK|UJEICGG3;d;H?S6X6;3vR(DT66o;O@vKUznyE+1}{cw=H> zqFQCj4rw*5S{Z7?)TvU()uhePcnC#>i0XXQ_4TKaxq%yG!3&DEmsIr+yN*VD-tqqS zS8p#I~+q)l9)CU&*7fxc=A+uH% zj`Ozs8=t8XFdn|no$T@iO z1wARwcIrwv$lI6CZC(?|S8~YwtALrk#<9=~ew>F}HtX|ItM@ zZLcI&5%>Tz5XdyqbRZ)P^xcxxiL}Z+!@C&euLcVg#O#A^$-U(Z8YTxy-FJ zB~wa=8o zN4UJa#NIPvCRtIV&oFtwzXXIose=Be_OBtWECPPp4f`_IfdSd!us&{a7}d-k&6u_L ziBZ8pXz)<+%-H0~b0oB$?qpy~Klz6g` zydtlZLG&;#)TQoFl8d#iR~Kv1vWLn>uin0s{!tpzv20EKlMmh#f)j{nV)FOBr0hx9 z6fz|EGbvNcjqH$$%3FuupA?x8sgRT;1RfA1IwUM?<>3DAVCZo9og58IB%=dzTL>Bv z3yT2?V=_~P30{93L&xIX-XfLJLSrtJ^T+jy9BoGY!*;0u>y0VUdVkf$M4?C%5uF&f zwQ^B5y;nMGjuq^an@iKP>VEtRY!`>$VI|)QI(JpYSRXLd z9#X<*Z>VI8x^KMT@yvoKCFL0MUr2ie1%0l)E^bIu$kTIkiJSKo5!d}4F3I)`*72C* zyDRq_Og7g$ZkCD|2qbYFwKXvHwnebRb!YNbrTG!{m%vE&?9K>R=3#Nl2MEuIIX@P> zXBFT*@pT*;4j2x2$0^|TjQ7inT7wGx@mS?wDRSxj75x9#*UyIEkuP($+&(j~<%U3s z&zV|I>E^y}CZjM;@5=Q*Nvkx3gD8bfIg(H$Fv5aC;)DL`>c#Y*WVwZ1J5%CkZ6qZv z7y}vNfecghv`Tm)FRl^M~UAIW)S#ML~ z)nj0)wjU+^z@YKU!Nn! z1q4vTRwg6n#9y!xGyXS!YF_`}_MoS9Fu+L&@%{h+}CV|qk*DDkP;#}Wx^6C6n@ zdn(>}KeRU0j9`(qF4z`*z1jWiai<>2?ib$u_scViar0jFBW@6nUR_yhlK@LyT!185 zNpC8MAWOD9kzegF#*_^9`FEO%u;O)C&t8_YiPgX$L%z?3i*#X@%4VCLx_%dbuJ(d4 zRdz;@~f$bNG(-d4IireAnKU)T}1szH%K z@qlJARDM=2NRvPR{@lgIfp`p?d$z8(+`gAY_+E6oZ2mO-SMa~Kr=Ifsl$=NCEdI;N ztY?orwwhC->oVt_kf%J(NhtT4@*mFm9A4o}SuXsEgPbVs6Gk+=eP(@)ww^Klns6BJ zVMo2DlFajUP<7vdb9_XLm)~mFX2?))hA$z$77m;K00kd0d93mCzth1hidY%U|Fzn9 z_$Q#|X~0NiwmM!*JC^SQP{vTb2!apz*%we9D~@d#sP}IYe1E=Er6d0PMeOL`mqa=h zl*MKPUyN|Y`Ot8Mt-J!0N$IMs)f&rc)Nc7ISv#C`B16IJAB1CxZi-hX-?8j|$%39! z8U3Zl3!s5;9O3?>jOc(K$)n2u?ic^#b$F64u@+w;N1W06uaECd2AY2LW434&8-BQX zB8rEYc=7*WoUo{nN9}dWf$Ljr=3kd5fOrl*pCB;xWDhnhP!$vS*G@ob4mlCSylzbe z$veYzHZjk#z*I0VfSH=XD6B$&SsL;DBx21*WuLSPs#Cko5A`{Ag9L-7egi6Jz9m{n}f zW(~}o0n(#$ubJxzvYunCNB_=3s$E|9FcN~rP$%cJzE`WN#2HJfjt@^Wc;qOeBKLH- zdb*#?Q#C)xE->I93z49l?&lC`rnnHhg_q|kkK>0|YfG+BrKQsT_pCShFWnK}_zgAwghtIt$Zlu}EsU|SaW_6dxwWzod-2WBSd zN7Sv=T0WDow+U$c7Ys3@>zzJRsEM5zaRae1Tzm)y8gXtd86oQvjFZvx9}2VH*60r=JcaX4*1X>zE#fX{KwAZW#UFjq=@8R$Nvj<8vlMc*-b@C1ls?z zl}}=QquqlZ620F4Ha)jyn8syHq({_a!BC)rUiYorx6gJCnq<=i{@2EFk(h_{S6_S~f0)F{k< zHDTPhj(10jEXz5OFi5QX7-fsgj3bBh-+Sp|;ivT=*8exc>EvB+iQWfVM)SF@ zu?EPks6ejaM-)^+ak?jnGL@e^m%Cxe|DoG~E^gU6n9S9rzVj)yCu0JVrzUhyH~Q)Q zm9A+s#@f!#y0~gHGiUl|pf57G|K7w3^PO3d>)OvHY-Pyd*h=Sf^NnQm{M8kQmyG73 z8i3$&+Wk7iesZ@ry3vUr5Y^t|Iu_D1G4Dz4y1MTZ>v?u5#`fy=Mlg&e3CEZ62OD!= zSL{#YD9-Sdp5hwWm%BFrPuVt47nsuz{J4j^C?wo6K8#A);0a3GELDX0_$q-lNwE8k zdwxiQjZINIKCWxqqDd7l=Bsor4EpDPCNv!RxKQ=Sg1>ngw&`D*7%vjSFZtF3Q3%nZ zevFbf8Nu&u>mUp4Kp=d#TYYN0ufS3ba2GxfN>XR>sLE%e#N~~M)AqXY zDxu`p3JXMG{pQTWY;XZjho{BG;quCIO8E}CGz12T7$OmhRp=N)o zus{Hx)$$B`?^Jr%;&ck zTi)8julc+T?H<{01Zl|5yrST`#zzdBwPv3Ad2Zeo%Zi2Z>Aj9FPOcbQ>uvLs-=bJ4 z&Vtnb4l4Y_TtC0KV=Tuq>Dgigwd5VFdJghX;Gvf3>s!nX4ggGx@v1xyu6tf3{)GwS+n}JhE)vYFQ)t0!NtnGkezTSpr|X zR^<8&`{6*RAmyuzXLA1ckR~pd!N;SGmn4(Mx0RCuMR6@M4MPWsyz&XI_&udmNqzmd1meoZ)N zhANhGU%=L7TKMlV%!c%Gcytmigfr{|tG z7_9@YdiT1SW7sSD`MAK~*XF|)IDw0C*>W^uCMK`ffVL*c?qBy(rT3e+gkFy%3Azg$ zA0O*56S|N&@+2>)r{oC>?Z7Q8QE{o`cN(^@JmKS&okjJx-q#DP)XpoUd46tHU~a z&qJ=Q_5Bc-Hc!QA`812#+>>@g{@PUdbmXd?eUv|l`_9;-1?59qeRb^-xitGBOCfdR zy{`yd-CT=%!C=|wh)crrmpCYRK|=++fnroYbFL?>H`msD=HAiZU9p!L8XA6Usq_vU zXZM{oqMl^C)I8Z32;NE%i_*9bcuu38W){_JKnX=LsxIN47EBDR&yH^nFN2-ic7Njtw&Zs-eDnKAn}3#^xCnEz-s31qJ3y+nX`BsF%8&Ioe4 zV~^;1iRp+hb&Ep@_Re-{`nY*`g23AQ^A!tGc=Q?^=b_Wm)1zsS6^x@+T&jG094p~l zSU4oen0>yUI5$Te^8Q8p&`<>Q?$@thmBo2!X%xG4<{Tta)X~spg}jih1nSA}+{rR) z>%Nv=PXomS*uUV9I!%?MAi{%iO{E5I!m}?e*4ftrG8X4yYYDGf!jEpu|7=`yB@Y_% zE%c}YmnDPSh=)B_)+!esa-|an_ajWlNuna z1)LBPiYDqu-+ksR)F<&|(x7jKPNk#2F7(iSEEa2&rC;o^Mny%{shBpr5%8OR_X0e~F5?HME95Z?2<$_hl$B!_f0>3SC6TsZG0&SN2)etC zO}>ck&32G>oU?hSG`SW}&N>5Im5{51_7CS!8=lmG-@kvWIg=AGsK?+P=r=x=+IXYF zfi;{oGR+xsaJ%Z6dwzMVEdXidf4`m5RY%hsZkdo5nC^D!YKyf&r$xwm+Pbcm_l{op zZ3^);U4CTEv0K3BVfKR5am@6l!2qkt?+{4ySvdLIDtC(M6cb;Z|x9jS%($-M=+4Yox zc^Hs6Y;2D*6kdZ-QXd1Zg@6=H%vM`{tS`a$O8oi=uFPMmGVf32f0sBT)@f_7?y+>Y zqc@-qY}h`Qy+H~pya`Z=HoaVNO}akDyfCOeS2=0Ue_VW%g>_of^HY9%L^JgTj!)i!)mHd@x1d)Ra^9Dg>?iOqR@~Q>_ zBZ2tY-=1af7O&-*M{J4xHsjm8_nBtB-)g@XhO5;Xhbca7JFDuMl1*xdS+wv&f-GTo zk%zdkvW8p>gz{X;<}St%et%2~>G)?h(%W?flSX{P?i8tsm9;GLk7uW4t>qmF>$b&z zDaKLoYxxBRz9GEgc{5~(omp(|Vyn+@IdyxTf`i?K0JhaIn-tpFqWMHPU(&Y_O!TzP zOa!@kn~!6z3i<;&{T&*9Re*~He|`Qow}g#S(h1@1JC0)Ya$VawU&dhS^v^Fc#$YN0 zV0Qv$>a8LBzG_71V)A~F@%6%U@>bgpce0`P@y;b__iM|!30JFK8xo>%;@*r9ok<}o z3HT(#pn%7%&z```PU8GF{;1IkCm&g^(fww`Mt9~K7kl6{Oq|QJXg7oe5{;#N3pum* zUj+2|5lnB;NQ51|IpE7-e6ucVKHFF02~4pnt7aAi z!(jAeB>l0?;*qsB9a7Q7QtsWaYk&0)xFyCVAOTlNXUbj1#u#_rZz*HbWHdr>s!&ap zk6Z_9Cf7 zA{v=MbLj8$`(>_Vs0d}O5T&$tuihh%h{@f{kRS9a=J~I|`>wm}Kc%0eBwuc^5bBms zxqR9&hP9SMj(T-R_Y((vNi>VP$NAX-hlBW;70m)nb7imz?6<^F4KyQH?!Tmil38>W z7nvO{F`d7wT+E(fF)t|akr8}cL{0E&=G)<1^C%^BTBW2bJ3+yoID}cle8|)mJPCF| zxMl2P9D(lUhB`#3!VNOG#~UhL^*MJG|DC2v_#R2VE+HA_x8lv3Vqf*wCw@gd7H>Ev zVpayVueprhA2nh`?+MO(&WADx_b*TPn3w4)S`eYeu>7FsP%^bWJWW}Z*BV_b-=t%; z&MzXU-=|D6-$7{@WKC=dn@8sXUAfpKp9_yl)U8ObBVi)r!nIDNl_sk$ENE494%6-F z7|$K8k6X2v!1SSScy$Bsq5ir949@dM!{sb+y0Rq=l>>UptRwL& zDyLf`SnSKkXzIN|Y>$UV;ACZWL@E-xi3Y{P$C7GHsw_1yv8P#0q4_F#8F9Gto1?FT z$>gg!U?Ur16w6chJ!l^D2xZTjDldi~aEABqhW)=d7N}^^G^L^)sHHKv5}H}Gpo4eF z2PdM>rJTT*8_RO@SJL0b^fYC$9v{<~00~_l_MG02m>oK#9sP?fDNr+6Ce=|+w7nG>Bs^v9Cckz@6)6%%j@upLzE8E=aO4|hMy$T+jDfF`E zyTj9q;R64%`o_nCg&NpwX##0l0dKW9zi@7q7JUkajU=|ab@rRo=7~aDR3>;9r?%7L zHP4j$^SYsQmrZG>cR{)LHk@;9`2h-pB6* zD|^`(Rs&JJdL6vd$*O9f2wBqL_Y%>|jnfLvS)3e8bn` zz(A(`5!?3qZuyNpQOMN%l14BQ&5N&KFa65GF=qd|Fw>(@H8Sr@*}1KMoH#HJ*p#Mq zn?c#KFZ7R&Mia-DnQpnNe1^)mk6Qg7d*w{yFK=qKT;DqGS$Ja<^aq!gH)7GnA-T)S zRGqEF4^&-jB0c+!PXeF;N^Y`cz1@riJxQUcF3ZMy`KZqWZrq?uZ*Vv`chdB5#gl2M zki7LoW75w8l@l#IUFhXIu%EJ#pqFb3ZxFE%=X>~c{d@IJnlX9Fio0>5Fv+Q^Gcem1`gw^q=cPk5%hPuvT3>LbY3sW+IeGLPAQ1bQR0@~JeHK9Lk7 zcg0sK^Jrx$#J#bSPehSo-zJmIaw=t349?e#;wp%mOknERXnbF^8Q@F|Lio!@Sk{t< zJ2+=fc0TkMd3dT2zyR3j3rjou?mVO%b4{aTzD69AB8;&*j`~lki;FxoX;EftSdt{9 z!=MSWm1jOhU1p%k!7`Pb+)q#Hl)U2Hty`c8BEzP(Hd{uCkX8*d^?VhiqR$*^=2Om< zPsz87b*}vyj=1{ZAO~y?B9zikzNHXgy1-S@B2WhpIBTg(lgU&gYoiyJ)sZw*7q{hA ze`4D~Zlq*a3U$tD3#dutxe;I*xDvJ!oNis`^|o+OH!o;;>fvxD+{oGiee)fW`h@T! z>GKTktV}CH_w#V%{L=6@|EmSaZn`fP%oG0Lrt-@o%=J(JFNZ{DyNRX9?pLs+PK)Dn z(+M98dZRPZi!NSmnH|zKtNwb_F_U1|_7sr>q>3(lwlO5dw5Se)vbXG9@4=~|R20;& z69XSRr)8HPCG7Z>BBM?45iR$agqqU&5gOh$3CA@$d}7#N4APUBETz5THgry7i{olY z<0NUZbB_TGAe4!)t;nAYV?aX2)+S*spIr$aEon`ayNUnqXCs37tl5UG{Vl5UES^*? z_OYi_v3zh~?`>SiHorOdhzg|B;tZM>pM7zEEKVtX zvO{LgdxT~i)D2K4TjMKb3|2U)6HP$F!siFml0m@(LH` zpu#yVm#P06>B44mppBI%v;V75iSR4JNF>|J*P&F!va@uVc`X4A`eMk#A6!+tiCRX}}Xf{3@`Xoo0mkYU;M~feS4+${F z@6A3UKWq1W@&*XsPY~ScM-gXQemC`S#lyN>d;3h^(pBMwvr#8*9x>y8Z+-be^uq^~ zprD`(0c{rd_!kn%)l(!}Uo5m%_hgBsD%@JHyPEQ<(F*#4OB>1_UCTQ9@C|0Nf98Cp z)#6}YrGRh0gtJ5@rkt-L{r7fMJAo+G@Arau8@nyYYoZ79UcUAypSD6q+{ zAg4-i<>xABV%na3lXB7W40{-jUb~qzK0ba66e4{1juN9J!o`6)?9)I!UM$!4(gyhv zs5p2j`CW=GDXOLS_QRr3BJ{{w`wwa!qTswWXWtkt-{tmlK>Jef`6o6G$7`Pih8|3muZGC3rnA!~$4!{qnfd&Tpsw4Tn5IA39n-zO zlq(j9+{-<#4Oj5%RwLcQlXdMd+!dT(-YduY@N1WZBQwkSvBQiWmnI`uGGpTi^Q~L? zL&}Fu^a%l@*r!iq^$iW6JR!gaBBPcKy$lIK!^6kdYx8=9&AFrK1R9IUkEM~P8~)6^ zBBp_fr+@-@tgxt2p88*{A|prr{((zTyAH;$SMAPs1-QP6roZMtw{jwW-4H`}kQV%Q zR=T*l>~)&jZ2#+^41g*2@KT9PhxL?2)O2q(8JO#g1f6CzL66w=^c<96UvTzb3+{+{ zN{~U-$J|y`mDmrM8Xb|osuAVV|7nwTbA9m_Ndi{J(&dpOyFHR6sar9v!x9gHp!#~H zv&n$2O*JoDHqMWE?vd=j1w@;~Ok#M1ACIlDke=!E94=Win#gp2Oouw$FudE|tJVHI zkPRz7`%RY$OFYj9*B4#`&cv8UmK;!@#a_Vxl{kVr0+h$cm#6mZmpe^8O|IcaEb|}v zU?QyRhZxl|;!Q=GLbNwP{zhGWMd^6Qs#KkGGK=JKYZhF93SIv7bn@e9miY|cV3XA+ zQ4jDpR2Q}_=o2(~-@y7>SBEcqz)g0#*xsF>kQ-~;=(L0es3WS9t+{(G@vGTyFse<% zqi;is!biZib&AbCz3)^@+(2SKrK%WIwDTRtEMQ!CU%GU78=RP`$xoGq_O@9w1Umaf z*`PFly%&sTywFBD@p+~(q3lCSvjvD1mgzV#h&p}1M><{b0$~{gM4d@bL;AkKPTzu# zTt=Cc8QGk9zb7C4k9h5u+s9`cN_6rjLRq5>GkW0;W)ImRBRCP_k=2vr99NKdjm)ZpbfiaQ0 zY?&m}o#Y9xr$?~d1Y%mA8AMuZf2O8FJ5Wyj2Px%Q#S<+qM+dac;!m>n@=-w8?VKRc z?@W&ybpl#iMz1afB2+${jY+=1uqjXloP7p;=19>wjp|zRh~o8#aaWXiCS|d3->nx z9tt#!Tw2s~?Y|9={WhJz(U|&KNOF8%U1BiYgH}4l5y{i#v)`f)uk2+5T6gJfY)%8( z{zTQ&h)LxP`N>ca)3RgAfT#E*J#i@GdBV#%nbEJ)X?HEN8dxt;sHwih|CR+-Yn7lk z=%1QtoB~hxF^0O5)PJyYB^j3?f`Gc3wC2fRd6a1^{Z=c{iO)PQVJEKTPC-y~{>HCN zz*D2(KP!1=^)rXWR*+Upj??bW)OT-|8QwzNzAi~xMO^;{tR`C9-NnusDuoJ20E|&8 zpa8zk*R)9p;;nl8<4VVOIj}gE9;WAv-vpU#?5-iuh?#f zLNA*y+pn3`;E~4wV9Aw?F9A#d3gk4;7uf?S0Vm5v6Z3)+pC|ijc#x%aAg%y&|KxOl z28(u}c0Tc0BV9_wLkag!>XDy)?IX_@%2lQO!8==O=(W4tX2e{&EHdlZ zw<|B|xjy)*Mm{a@P!ePugi`Q~SKFE3rTEuhQ6F#>{B|-8?MaG7srn^;U0M+Uc%_TO z&nV6(1d9YnD5aS)N#fbm>Fsryt0=on6;wnc$-ABwJw>KnewT^tf@+OT#gmQAF|&f{ z4ZBVX)<*o!Sq{m5`>1o+wFUI|Mo9;s?ulQYLKTh!r6 zmZp!d>FGxhrvuE2P<+28F~wkLf7a=q6<_+fHz{t5>P692z8;uR@wm1C zK(V#_uz%1`M6fGU2cye2e<7URu9{L(M2+qW%E@tQdlfvL)J^*xrf(YZ5MbL|gS$Yf z$VdHU2MACQT)P(Vg3}Y;I8`H7?1egfCyUJhqtLqFfVjo75h@y46K0G}Gn0sb7QWB^ zW6KFmq*npk8K(3BxXb1Bb^(|#q-7ETk`GAhdbGEx0xjtEmga@8J9?`>fmQ;d_nO@L zhrZS0IVIfk&yz}VHXs+Os}m{%XmFfZo6#Dqr)2Bs{2g#J&~9R(fj`2hUlh`j}xncT|4x*c3G*kUk4it zMt6O;N66S99z<19H1ptx^0ztL;=0AP5n4xj32o=I0e1qd#FL7yFdmDt8d(#rl!U=N zK?7<|n**!uQzX}lEQ|5@no5*?o@aTy^ohva zR)|5F?g%LUEjGd?Pp4xCN8%9Gx=M$)A#yVZWnw^A;5rTo zD}#@LUIO89D4}s&NDa&H;tADDgxbgQ40okrou}@%0$RYArnOZhZaRVayDy&~FG++} z7)ZB`M6;nd{5fKwbt1L9_tGe^Wd$*t9wBikyV$~%pRoAN;*F+_Py3X(2u57>@y9w)YWX!Gw8b zm|y|A;OO|g_9>M#J{?Qu?gSh}cI@NTX(q;Gkx&XF4Agh|zhPVLIsI~W=3zg7fOfH( zqGsI+N4ERzV=B=70B}S%7kzwNuf?Cn(2X#oXUxt`BiDPR>EUS#V%0h9Q|E+3KR7;! zE4Tb%!Z?1UGQh|nw}jSDlU6vhc=lRU3<9STOK@rb`r=Q1){jzN2&9F77$dQRUuQc? z7ZK7Zy+hV!N+MeY)w}jHCG_i=Gn?A+FLjt_`N05^dSK03&(X)H-0Pp4ldt4m`>SVj z#^h_ArOl0*QrB7|T;R@N51@dPz&fL-r)2SYS6r{2uZ&;-d~8Fo zcxEvP*q;}O+1X(N2YXHLG;DgAy^t%P0C@P8!*guzzTX$I$ZdZmeCnKI7bToSe)>0i zID@DisD#{+HC>%f_+&S%rzcitiwlnV2(?6V{OP5YtnjX4NXrVmNN`o_X=_$L3k54n3 z`{r+T`gt5ft$(_D72GptM4 zW~7BMgqeV&B}Nql7v05Cq(dMJ9Mblj$CA_l=wgn=MBwl@Xi7~3iTi_;pTKGq^+&FFNejm)gs~}{aP+nq(K!ln^`eh*vdz8wpaCp5WuHs zx&nRR=gKHZ7IW1Djzyl9v)mw08T60J=SJzuf1(bu!{c&kM&3hFFY-4GAJ~7NFZ^8W z=nH?Kn+wQS%1h8ODJ@5Ci>s>q6iHAewC9bK^O%!*t->JRu@3*1f#VbdSz98)(j7nX zxk>gMr38E1JtsAl1RP!5nm>9@bni%4?x&<+X9-(M{G%2*stl*4`(m+}5m3@Yg^@!> z`;~ZqWG#a&wLb#ph9_P`|6Xc^sQ*dssIz0(;ympz48JYcfAr{))9J8PM(q^8@WId! zmRpMzIiptdTE#rH8OpDuG?(DFI>5Qm3Q~?s);vw&x5M7V5V<`kBiO-rvJRwi))>IWD ze5)6hxnjZsXsX_%QAb8bVw0M3`9Lun!<(BwalLxfrb;_uEO$~xY?V8}1+&&9;8F-R-98fbeGZ!onA>SFa z_nQ_M7W}fasZUQYisKtWHT3U9P9}qR2}y}JEyeR@TeU_@g@rf=4wZ{Kxdp$i~S-e@f)@+L4~tuSpi|AkFR`098Zi6VuVm2 z14e^-zxj|%{2K7LSGt0K{P=M=y>YzWe=#W77*3z%Jg{i#S|jUm#`WcthKGkoM8TGlKGS;g}AtcB;>)fn1$~j7vefq)^n|K(5ew1m;Yq_ zMjp4;+mT|Ft;tB>_gh7Cm%Uv_Dh0dRZhWOt>emQ@J9|pYH+_|UV48wmzI^gyS}(Dx zj-@I=GxZwayA=Li%exA1$z*Cc{|?VV0kig&yQ|5I?Sc}Vt1g+y>t)Q;)>Vz_7xjc6 zx(qAY*mzL;eID7AsG_wk+xu$ytG~b#qQ|3p!&Mg5dwSs~@XYTx|Oi-gdAJ$04Le2EiCA^n7mN>4nJvhBv4`zH=1f+-&G z`yn2)dilAa2jG7ppgxDsVP-6#{(vnR8}E0M^r&Zkq8bHq!M|rR)_GD7Qyl1w2hX#M zS zI_*PqT*Hpm3jB|bG532$ox}C7MIBd@2YDh(ehW!Lv3RaSnAHl=4p=*h#}IdRb~;F$ zp-Zdbf*4b~(!Ig#xp$!WgjtW&vw!{cTq`@?j*FCQVJ4VU)1j5hI6Xo+&GDdaKt{lPio)bQzts5+ZpXXF7%vFy;j_&dc)us~$ zCMw8RfNaW!f!t^GZBkAyW)H!eW!EGw8?WV<4aA&Ll$_BvYY9Bhe+(CWI)?l;NQl6s zNM3InAguoA;$Vh%=QK&8?Fe$$oGy`}t6d02Lql`?tE*r6)HRU2ZP0F??~_Ah_qdTD z3qW$Q%QR2qg?|;XD{aXkqZ@j|H!C%7w0(KYnH`wa&xurl@`*e`G1;o&lVTzPkZJC6aTO844t`v+oY z?>`A-@oN~932fPLhaBwVHmgF%3_0k4p`qiBC+1JetyUOkYQ>!<(}JY6lk z<_jO&D)F%rSWm9RA3uc5cEs&n#y!*1DGwU6=dUb5xlR~+j+mIu8kv1eFk{DKkSoE_ zB8BW5-lkgC@@}2@EdyveK=WLV&y9n= zf&Qj#a%h+v4>_zVX)pm2>DHsA_9@_75fYG~OLzZFd#=olyDM7s?aD4#x+cdp!~mAj z15qg-!SHT8gRjxG{`s(Yy#;ex_jFeK zr^_kjmYxYEE*(2~jvOn=R=#+|9t~%mvMUUQ29D6Y77_NM155L^I||Bf;CBGUDN}i- z%;u$u|W ze+-mu*%s{>*l-EoE*Ck~Bo{w$P>kTWdYzObr2B=sd29EqZgGJXan$MJU>STPBur!2 zjlp^+o9a0_iRt$Y<4@Fmo11ByS2W*>6Rm%)+qSxb&538w?=7SKg*V}cZ(D-h=D38y zJ3(%*U;-vklZZV~4(#n;*~>!Si9BJB-4*YF-ov;4*$gr-Aj>GOd{PTFrdu%C?gY7; zj2A+i9N4SXIT&2skS7trz`8!BUV zj_3(t4!sWda6fxRa@g0#>a_07svCY}ZNZ&#k!d=28+X5AcYjjy?XV+m0kh%0KyccF z^;y$JTM5PmU-7T^9r{oey;;sV%6ln)UdZ5JZ~N^`*VH*Wlso0?Nq}~Q!0?QBGl^ki z)heY6G)uI`HFa#2pk(PjuOMiLn^11GFsFu-vVe4W*P2VgsE^!JXi2NmGa%cje7rEzqO zXxwIT<_Ie#Wn%{`(D^&wa+x#T(Z(wV`GW$`U)TVSFS(u8mxvl|es+~`d=VW`Fu(5R zzZe)@Y{ztSXf5HW8rA8f3ms17C2}}jXuZFiN4XgsD8MdxQw9j-CmpNOal3N1=x69jaH#J&Tm%dYfnspzh`NS7$b*(<;t^EZ~Xifyw zdVb|5#i-RRjv@7BzzNLAe};$ZD@U`owX-KK(WuTTNN{P&er$U}@x9aC_FG1s;peZq ztv!n-LDDr|1Pi#f zfNI0fE~H=lK?Suju?piEW-d6>^@#gY=Ao}Y-5+@izq@b-c4+XA6sUnS6HuR5eE3`6 zyf5Q02h%TYmms8)DTjp8iucu4a|jTp)%OOoHQFTYk>C5Bc0b*Fph9B1xTngi+j&cN z_VzP3`XN{+5q%h+*F?e7=g1@9cT$^C1vLl_T$k-znE>1Z=~EHQ%u!6>am%)D0;mQR z3b&@Zl4EKMJqTJoKybJ8P$e5xHA{HEYgS>QlU~@H^lOy9^*zDE-m{Zc!~SD``gWXQ zNq_#cf#J{e`rm>-TR;$>^ViS2jJXgE-klSY{+X(JU5*6%1RW4fQ(S3z$~!*fgV8@FPT{3P@_ zgHvrb-%9pf`&ZP)$uazK?r+5nn_Nt(sXNd14q!+OlJzh&Uge;m#}+VcMoXOc+$3ut zpj!S-=ei*#^o)o|xaL#1d^E}^QCU=Eq^Ec=foPu6)%Z_wJM*}H-{E2TDf61U^fMAP z`8fZ8fQ|18RkYi#`x<2wYbT+USB@Opr*H_SpmJr!nkW=l`mH_V5^7T(^|bF7=bUVy zxVMBQcLoR?WAy0LC2S+=6M1~;Y>MCU4=-<}pE1k+ZenzJH>lnAhf^@{!~0}hxHG`a ztq+%k(J(Ni%sgZb4e`ll4zVVRwfsSGmkf+f~F#rUwm0->pMqUi}ofp5h5GVrE}XdYt=8Ho0@ULi>+#tAwsDB`sZ*8yx$L zzO0l~t6|004)eEfkvdnnk63WA-?kz7q{6&~9FQzhpdc4}EJ2Hs) zEcOa>j{CGidSSMKL|w$syo&bu(rP49sX~>l3epGZ>T7S-+7jD0DX!-H)%W$3OB{-c zo6oE4-DG}k=I|cu?X7HG(p{vMkhd+~{k<~rALEWpqQ{q$eh5Cn?Z-euxqKU`TG{{oN_g|Zs$%Vk>=6v-Rmg@ri_Q!;TY#S(5 za0@5$d!9I|L>VhqiDq1A#`=o$tfWNK=Q%^1x8+ANYHOMG*JiWI_X6Euia*`8-CpcV zqT7`tjjt-rRuTD*sbS`VUrU18WI}TCp3Y9hBpIX5>zP88sb?51&1uw%TagYjIk*`9 z&$sIsMQ!Rd7nXSHh_q?&%0CE-|C~uGlgVLa-*9;u6fLCqrihj)$e2R@1;~8B$lGu= za3V#6-DnJow$?W8ga)q2Q1f0K;;HXNjtit1?+Jfs#sMF!y}x^pV9F*uF*=Jo4=Qi38Lj8uZrEC{^E@1lH;-I1(Lkz6FZmFbjQZ`1X|C z^^~%_V)K&Ea%<;_lcs;i@-wuTSdS@i0wK(4**H0)e=#gx<0vTpRS#TA7Z=@bjyg0m7pNV5s?hZQs|rH7n*F z|AIbv(<6U#z_61!!?X|Q0LE5SL~zs<&oneL;w2f!r2E@EWr84i-m>T7qE~ksDJj{;Hf5eI8PbRGox;xbbCc9TykA zB|Frv)3+kjtZ{T_rt)gO5^S!(C4=WUDPd$1aaFca>i3dS$?EO+Gf*Ym@fWa6eSK+g zT3%1U)BeN(nF@SR^2NU=tO+OqT---?;Pxh)u}2s|livbE6PSQ#7dJii{ZmI<5@1pN zb_we3-7voY8Em6Nevav`n0S@LGc*>~Gx>2rlbZd)gEl;eOw0Fo2-_|O^M@7@+BR^! z+1Vnx)4;&yDEMQUq1smbYwF+~vD+qoelDj&gvR4Ox{KQb=<-}0{txMF6ZiDsF1>)R zm0b8VBZn7*sXAJyeCea6ReE}Q@G1rDYt_-=+*N~lLKN@+Mi6gdVvG0al`W0R3i?j- zK@;H=dl&RQ5dd`>hco-wFF`O_c0>ZCaFK`;W;(EgyGm#Gu>Hvft5Y^U_;+A4uLZ$M=X1dM_zhX`!;2^nSCyQJzHeSug;zCy z#PNf>Hk)%a5ObEPGL%M!=0bUjsFz5yfI0?sQvn}|)kVS%&rdJWIMrK#Nn8}m4m9zX z#T?*o&v^8wSr$x!EXiw-8STnDUx;uO{uoQ33vz~O2#xkvZMkON*M0*|Sx2N_+zRjq zZx>n`yiMkUTE6XJeY);Ny4m<^wcIpnVcfvslaelP&^KZYj$5)~27gGacGDM{eC1R= zKIz+dqC2~|YCr*??hcUq&1=jRPFZ(c@*T#^y%{Wl`+Q_^?s%HiRPXD`U^zA>+`&eh z7djt3fc62>?m~`0OD>VFIQ%V#@t^06a1Q3?XrZC#T=rXXQXQ|c<{L9ogtqhMlUxLc z-gvR!%dVp|w(YZ8UslYzCbIH*3NSFB>y`ajP6<%xuDBL}6}>U*$Q6#PEyEIEpz;$G zbHU_4D8~W_j5optY7^z2>U7>Hfx68Wfw1I%Ngm_(44|-ORo5|e3(x>fmJo=we-F_A zml8yPT>9;9nl=;pWUj)Qqt)f8+>?iC2?TaG%3wBVoTH@crnM6 zPJ&t!=W$XQ8GCmx7jcKAd5di0D0Z{ZD{E zUzsL4=afM*?S*J!E&1_3;rO`J_4r1NC=`?~sY*G=)@d>VElvvixiK(WUNhdFba!{V zY!IVQAOkdLHDI~)c^)VRU#CeXkA-gN;zA1I=2Z+W9_r7mEMW>P*zwu$cuwXd&2M`G z3uSQUS%4Jv$?U`^y9iYz;_eQw2Jo%`(qvpo1&C4YP95PLpPVRUV*;QSk&$8CU{ZzT zDG^(fMECk&uQDS)>GtT-Yn!exl2=nh$x{kiEoyQ)Q3B>y`gO|yY8)<=-5P?9VS7Ba zKOMtqRBBBoaF2}TlJfZ9G5IBl!0K^8A?W@j!I$jcpaNtA>T=tIxe|-1VV%K#EKt~M z33F%mH?=ARCd~@pBdQp$ThPRkMC>2mrqrO~ZIShNZ)W#J=sJA9blJUHxW!Io-RR9L zDZxY*0JbSWE(Ay`YSQFUweod(7u`;XV;WZp3elR6Cu&dN{tLj^1BU%W9qQq!h47+1vy;*_|aN_tma^; zp&m|?RYuHpAC_HiK7W%ktr;9eA-z6qJNe0v{BTVGs>@e#xdYcgEcFiL0f7X-jqcq{ z1>_o!$iyk57zfmLcBa_}DgsxALL;o$O~3P`U7(g8IjgSu2{}FE@2-{h)`Rh^1!2wY zv)i_duh7Q{r~AbJ2T@-E6=nB*Jv7qYEsfGhhk$gqq;!{bH;AO9f^>tV(w!qB4bm;r zCEed0-`{_IYsqq8X72OcIOp!O?>^@_27)r-#7dZruF?$b{;w~q4YH>CWz{fVpe5jc#<9XhVxm{F;T z-=7i8FD$g>J*A?nv_;n+%o=HL8*x6viLFMG)f@@vE%2Ok8C#!KWWKUoD zrYf127+@H^E+HV)KJ8H~^`=qMhBQP9S0Fd7Jk>kDV`8h;E3@U;I8=Cb9s#lzvLedF~`6bDcNmDO5 zDPK6vd5K_>w_laalxpG}Yo5;yBvsy?-_ce_SS(o%CT*^4`P>Dk|JpRF>o)1!3kW*; zdtR#FL3Q33fOquyk%rEdv# zHVvY^yf#CjF6fUp`Fz;_^Z;|4M}KUbFTASTb>G=2=DyOtw!VYR+E137D7$X$cxTA_ zK$7}`cW10>hVg!T$);f=+!Tiiv)6VMO&om9t-Zjeth(pnU@xhoVR)yznXN#;|K_cZ zRpBM+bMG5DCMugM^gYv&%iQur)bZk(P}AW1g_-q`t(EV#exO;Ghab#X*_F;MqKH1V5aHAV+PqMVQFH6)V*>T@i zD4dgmlcfyTD*gwhN2Tl05x|9`WbD!4qt{p}6aF@XQ}M($KiyUMyiYZ7?=`g!W#U*f zXyso;fi7=7-0hB>U-f?)nw>1{U7$`d)qcf=tTZ{sY?&C1M8c`;ws82m6JA`*=ZVQu zv)I1j{ucP3s?(>08k5g*(bY4Z7~B=10*ew63K;0)7@qX;WxX(26T$v^(R5w~+u2L` z&hekxCY<{F0=~7_st5Lw<9LF1tH}(=DXBV)7$teT;2 zHQKat6b0QF)R(}d5ni#5?g)B2Za|HSs6izqEm!4GRvkL-5G6?$u2S$*@1p@~fDHOg zED^=<%P!OEEa%x8Xxj8dsl_%`M) z*L?B4-@zmJ4arv_QO?&CB$;(<6D~ExwJR1O(>qwgC=f%k?C|Ne$iemC>7DLi+YIoh z&wG?1!<*f6Lr;CChx{fuW2@`=a{XNJbV|tmGIm|qE#M*wvUKyRS)>#LJZ3%PTqqbs zo{IS#owAsm9vZFmjU{xVWm%Aj!~o%5jv-@WT113-Xh)64KRDWGGNhd7`t+niz7kfEg8u zOtn$P$mxQ%`Tp(%U?JWe5A3unKep8pzdydcU&P6XI4rX6 z#QwWuFM(Ro%ZXZW{i`pLn!=Cc3qPkm$FBX-Mj~48W1QpPcP_o_p}qKG7Nu2&k!(-- zxi(O9YJ+-{?n@#qYy~H+WmYV_>nhkiq-ZlkTp9gQi;CqCE0&@^WUhG_+zvQX27NoV zlXmvc84vB4b1nNdw~K8$lRTeWB`5m%EtI1ZlaOB}(s5{qM5|sO^Ml;yK}~kD2*b+{ zn2P>lIeu-U7x@ZB6R{$H5*T?4>xRbakRIlskHAZU*!T#daJA&Z zP4UD2bYLN(-+YyFx`|7Bcal3kGECKbNbMW1=4OH|D(<)3Jo80s(A1;l)R%kSY+Tgt z)#dhL#ya|Cz!L~v2S??yrcRkJp+!ih>B2{XR!$x=HQg%1ClQj_T5%KCau>Kvw>ARF zj`CLiws~TQTPNOz%ogch!&Z8xEA|Oc{1-m&F^8Ukt-IlDfAIxJ!xN2tKQjFf!KwRr zo#BahP@>%<9{rw3nr&WI5x+MEvmNGE(daAD#zBQf*zFA>Uu?wvel}vaM^ts6 zymPDh(?Qk3O6X_onbSV(G20pNys>5K<6NY5y!)R`0i7g~oYAOHwwm+M6$ITN3kMk+|y)q7^l%jQ!{61m1oUL(aei`}`RT5t4(1!AyQ(L7(!ossjQ!gB62 z70lLo7u3s=Hh_PWdB#I_bEA2iQIO3<1bhL%Fg5DM=ep!D$@(4peD>=3Qtgco4am&& zlEAuOc8>Sdhdp!ZjmL}4M4k`}eCrRHJUpK7FMC-0VbijDdOh3Z`t-*T&sXkO&jv5l zWc>EUR!A>u<2j~2-JTS>b?)Q9y>RHqpLb)$i*72iD5!_!&C`95ct+$#jTdb(E|z5F z_kJs|MzM~4z>h9`v93t0X&$S!1?SeMRIC6=l}aeAx|3|*2vdh%E#jcJV+48lzOq)g zCu46=%KG?s9?#+yimE%*u>1_Y@*H=8>{~Zgom=};*zW07rI0FOm&*_XRZc+D#1C_6 zov3gX=AK6>P~@t9VbON0xH5~HrGoN-`F>tEn!eT0_S@LU=-T}8kq;FfzlrgyXVBA! zkU+LP2tw;US#a)B0Z5KP4gH@~(Q-U2oicCc;tmeCO?erZ&ieKWw>8E-fn?)VERe{N?^_&w3r@ZgF); zOrv-e$G~QXx#7FG!Rge-iL`%s`B!zL_&954!!m|@t-&D`#7SaKXEzLOw--UH z!)2JbuWsD*QBv!DPOV}SGLPrS3rHv_hiyo+oA)ZAy%!S0Mi1P}pvVdJyUXu^hk~;K zbB+;0@^)gg)#Nb&38GZkx*e=%d{hb0=b8#@DL8nl+YauYzkCaH;=~F_!B(5fD)`&% zrF7Fmebux4hNF_BFTJsoD5IZq42rmEmk--R26vACiW5f_yG~}$|Ma+U4Xl)j-dLF< zZxH=PkvO~)GH$kIbr!|0OS<=Y+*fa_!rp}HWZH-%`-(F4E__C2X$!@;(o*--)SOmJGQHMH-8KMKm-A}(-26?itr)Z9{<=UMqYMnKoY zR{iIm!(?jD4o0=#JpY=UU~XN)r7(sRfLCf|Nd?L#VJ`xd^}r=_AMR?6#!4+_S6bNV z3aM<2qL3X)FPrY`(eELlc^cKvWfcSXT2PqOD_H)-o2G{?t+c%OayF_GfO$6mw#q0E zU@{7>y+{8e=8G3QV;UxL2$g#^3Yr+r(x{n}VPXY>MVH~fOT51L>IJ;LQhkKit@g}s z-h7!-rYlL0^^m{rImam&h)Lb%hS7^aJZ@PL)!o4UbY^7!?9ckT*?z~77;~z^-SueD zfGl;uyPcgKpNK|xw1}wqg&6+G1S1BPvpdN=9K2|HI*D4IzPVfxemQlCxV|($G(>nq zA6BuP(c!uh8U?vRhxknnUSQz`U_u|S`>b=-e;oJJULK!9J=f+C7EDPf5=!GT*bd_O z7WePNm@o{noijTa|2RAl$G1mWV>|RNGg1n*AoqrPZWoqHmH0Is`v92Y*-j)B$Nfx? z>NDjj8e;FkVgp=b8V2SxpFliTuiC8M96w^tFw>kH# z`czh4eT)}Df+YS3>s%Cc=)U=K5lm51d^nJzOL!_GSQ#diuEZ#1;3uq8r61vDJ(|Du z7F)(Cw#$sG*U76ZeZ8)9ALCdHfz^O1`QSQMhVDadQiMVm= z{&TiIwnH^*Xw2Rh_sLOUb{w-=uWP9{Z&Gw|A{ij{#XVqYFnB1mgfEga6!XA^9!un> zS4zRNa6H37B?}C4UcquIVAk!Y<>&Up#To3Jj-QmHhi=E=aFj-2Un6crac5{Ey8k3^ z@Z)fCTlRTR+T4XqMfzE_OVH1w^yS25W0w7fy&g3tk!oNWkG$tvZ-l=K!;n6BTK6|{` z>+J55uz1edfWqfa0w>X4a#BNEug3Yahl4-EO>y6Ia2=@hB!@Cs-7(|cDroAzl=XDS zJNZ{uhAd>;gJ3NG;?IcD`?rU?y3xi7MfNVA_q4Ov#s&X$h*>qf5@mFkf30x^971gN z)X=-le)=+-J~J+zYU8-+3SESz`eJWJU*w0i)|LJU^elA~b7)ds1x>$iSQ>{(Jg7za zMX#35;Wi?MI3HQ7!8$Fgdo+J}vMB66Tc7nVFz82u4eD#=dvhX^s<@42gay|sF9HxN z44^}od*_yMx9xu%S1ysC{QR&j&V))kmu~ms#~hxp2o*N4jFWizRrK|0q?}3`T*ruf z3pR|ze&@31BVZrmn5m?ppQt*b+8iWV6qQNe|a%4y)s)tJLoN)+47u}1D6P>wVu7(jcd^4$}kLY2U0 za3qN$LbqQseClR@qA14;s`R`m9U}nW-7g4M5ZK47QsgQu)@1*&cRtc#^4dl%+jNEM zlNNGd%3nJR+b7SCt6AV8Wjjf5>%MO~<^?7_UiphP?h-|h9$of2H5M2eebCu__bTd1 zU=VX^dFgr3;DlMaPViBE81?tS2)w8ol0a9k?I1=;qQ+O6r9oxP>Zt(B^lrZ0Y+<1f z`^O^i^O7l7W!Ak(o5)9`7ppczFvqiZwubNJWX2;H!5a)u{H-Q2Tqk_khTpF1>+1L> zcsGxT2F=leB`Jj?tfticWmW$Mde`xeEFrBT=6%IzopR=jZcvW3S8=IEzw#Ib{@5pg zGcJ=;h+9&$B0TX`l&Lq4-0x;5WZJ@Db%|kaP_yOssFT`j$%EKIhs{4wyp0MQ85!B- zV*j;an>QSw^_ra+|IN{_LVbit_+5DQGA*?-t;MZ+Ad4U}sjUTP5U2jG@qi6I z?Hs;@U2FBk-L7rUGQmREsYvG!oT1ZsZ(jw%)JDJlxHAPTm*bHAilUZ+1NK7nMJyTFf zuLpJU^(8}=A}%g2?zynHv%_MO4qB6Bij^^+6@#&)<=PcAM2ZlIs3^6mjSZ?(W9C9h zT^*kNOqGuI8kw zn`&ByfX(@w{>hbpN?K|JWnx;i>$ zlLN|nwPr|-%Cx!?Mpb{rbXikpn{1z^{8+Eqo3^P3b-ot2&d=>lvop|ImnE6mp7+js z>=B)1#DsvFQ=q~R353e|PIiCpck<6Jj%eyX#RwRmUx@vfCR{z$Fu~m~oqkH!tO5@x<>S))d9eZ1-C>sMaW*od>@!o> z!?u%`XDKf)ZyMERC45-t5Q~V8j!vm=#IdvYFujxx^%kK5_VfF#;N8Q1{BW$IX{4Ty z;F^;dB-D)W+vfZ5+YvrKf#}SSk=}3}n4oF}2FHDET{uqNESLaup)B_5Ds3a9xZT~| zrdx2!E(y2h9~6r8z7_{|SIH6)f!WQ;S>R+yZRyUWEcq-~Js97z%Z++9NX*BjEbKC8 z6E^rq`9=tse3GnXF)FR%{2ZH%;Wl~HOuAvhQS4;cNCjhCz%-&)n)NXN!WyqcOT!Zx z9K9#r^NW#$4(;`3)!Q-C_k6s~D5muOYE9sL5xZWT`lB0HQm#P_IR9t1R5{cL#iSGg zTVz$S`nlCC{pIh?QrP-%j(uGG0!Y3J9FL!~kVHDKm%C>4u+WBEkRoYGeoxvux#r@w zgr*F~W#jT_y~VYlgYyP!RUA?=6c$a&RP1nA7zO{km9Y}9L5E>X*dq|(AvfNjWS2ois5XXCHhWP3*NrIF4iX-R~;n1$~5zO z{dhld_4pBcB8sC=xw#5*aQVRsU@Rocl-_YTzmD82KSp8%JhQimv0lKM|L@D&wbSd> zbKhn>t6{cOn^K)s{v}3t;~{@}F-f^UoVi6RPiVu#^@<5L$Se5_t637DVOp#ade26D zUP2O-oMSrTzT_H3{v8EUq?N3!0tCXz3#*-YcSOfMH97*I~tvjL`fb7oML_ zcYN)C>@9L=&V`By4|u566K|Y<2dypw6yR4I<1*>T!Yp5nCoDKI8$k@f=i6T2QKlLS zq$(7tv8LjnBErmO=u+D;I}oSG4m-AE#l@{S^U9ia2DHlw8z2Q3yaMpx7cx0ofro4o{XK@v^t zcAoq2$U(J0LQUXlD_GoMi{{TJf}~Z@kLeO`Hd%%zUjG|iB%6Buk!gN#kDxL62+ce- z)K5wSaq5II{h)VmNFpKSre-&b_Fy2z~VnM0fFJzqejx}i-pHrGwh*5(&AP%uk~@FC$F7T5k_(sZ~p zL`Kce4j%x-lKt0LwQUR9Xz8RW3+71ECKpmNZmCBqGRCd-6K^oI>Z9bSh=611+ByY0 z9OxrhFx{LO;68M>c)#gR1ITjVDT}ylvxQCBL4$wNT-z9)*dGngN*hi<6U}+G;%Bp} z*mra(MS~MQEn`W&@wJ;r2NkJHG(U$ta?3bVG>8TV0(w8Q7bl=wp4>ExqlC(W@n7$2 z*<@=R`Bm*L@63!W8P1}KR7WiaqWY^aC5l@RW(EFLQ+7a z4W=i%ZbNk3np8J1-~B`4|tMj2*3fLvPkehV~2FB*?gVDQ{jboO7%;UqhG|h z8LQbjy>5DaKkh39hzWYUC&b)y6RJ*)b!;EHxeE~S&LkNIo)q_aIOMu{^U;VmB^Xlctig>@B*OqJfg1sH{BA%nnL^C8W+*jN|EY=H6qG--z?HfvP={Lf&f?3WlJ-IgjS$jD%|ps^Q% z$Vy376`)dpI@XGZ!$$1W4EbYlxMhE6i_}eF0rM98g{%1ATKW9`|jW(6C)vjKD zd}apJV#kAY*&}Pl8_1vzBEnpsM%TkZmb$>ASW0TDDS%7}2na6ChAyp3G2Eqf$$21J zVPs+&Us#BF{~itan7ce{_{a~R4EaCcB*AG7egpA)oy<4ZQiV=T=JzsPVTlIebj{4Jzr@W#9oI|^qj)jW*^M2SM!jm+U_rPHN zH>oZTa`!*dHq|Po0T?DBBkSqvf+#2`0QS^vsjmSdHwD*m@8MZq-R&XE%CcXJ-fWX|PoN#)Y+WU;JO>!wj{07!WmPg3{8` zUe|SA%#1S=2#Z*of3g3y1{Xtra{mY_fXUTSv#M#iCZCv(@sNrP=m$ zb-$j}kgQgNlK}?Dfgfi0Q@j+$FWwOiex5)Q(R}o(ejGvXJR8#uC}VImu9$Q2B){Wr z9bc94C*dS%W0b0i!_YbE;8QrYyg*o3gj4qp))EYg`$WJD4E8P?%uc~8Ih~@GBm&sj zfZvj|%c|&K!8{B2?KdIpX2C1^YS=#d-`91L=)lK_x~CwBnh3VkM^*EX&TsT#&*{Pm z(EfdVqKD@^^r)^dK!j%w``JoLHxaHR8j|Qb6Zl$M@CtwDM!+&gJy;etup0-LNo{y9p(HDB|9W0*iC3gnU>z#o6rNNvXlSmmO{OnIP7LWF%4`*dN<*V z454c5)308=LTl3D?dH(6Zg8c4p3PPQm%}tOOhaFCS6p!%=Z_kA?uZ`f2)jXURsSK6 z;}Y3mUQjUOcv0t_5_Na;Zq-k|*uwrJ*8$9u%*;%emBS@LUo zU-5W@e}*lchHOyk2Os=+)3_4rqgws&n~C$25^nWUryoBMqUC2FrFY&CwzP7*a&WfM zOBfA0bW=~**>4M8p%5mjZO_k##}2q2Qa>8BAcKlOOp3n}HKAR`A3CZDruItM&xJXX z)4nSn9`dY{W}dfHNk%3C+j*rRPxK5EQ@wXFpjA99?Rlm-)#*h9I&O%Cn;VbkvYYBp zPebyy$e`QJ&M3!<($X#y(W^H<&8Y&n*{+-)XT0bdO59X4`T0xyRWUL5G&`6YmTEaM z!XAH7`ltq-uZG#^b&6Tt=0`MVUGBg0QH2~s#k2JBE>o~=l0Z0(lN74=lAS6}CV7Bs z$B^mVJoxM|I#G+0Ma<0X%L_ld0-Yzc^rJ?UJR6GQvHSAhiPriE-^ncJT>9MAX$b7j zLm(Qo*pEfE6dI=9;-S48P;%xOEZW$ zqX{fSyoY*usD4WuC*Y|iX72NG$+|xU?KUUqbP2#w!|xW-@Mp|9D^a9Fy#9iQN?|Yq z`W-!i$1u-7)dLd7*3@1tLG6?~p-F`@&u{_PrihmVjcSt%v2{BP#4YB6*^nH8Kh^nK zVv!;<&Y!cJf0mcX>>n6Zl_??Dots(+Y^+zOEan(HX9=-c;3nwPXopZVw(OrE%97p) zdl{a66=I;`SF0q5>O6EGd8DJaEvxdELXD1tnPVcxEr^r2dTkkvuDXKxP3{naSD!s} zE_7fp)E(~$i3VaQwbU)ASe-ps~KZ@{u-m~iK zHz|&S`tnqolTuMjzLJkLkVa)8fZZ`Y5(wJf`nU{AM4E!~xW~<-`+b^Qs(+d+(G!?( zB)=%MLqusUcJg2yPI?7S0omq9I59x{{=RS>Q5IM7`-F@+teKd$

r-N_z$th``@& zY2z>SuK-2x-+lDm)Z32*2Jy5Rn=GalzNl0iQ_u)c43&X7h`-Xd(RhxH)gUwnz?kZv z$D&8M3#6vNLNWY$?s)AFSE#`vZALb!L?^iKDUgNr4{#yWN~3*JO53wyh=WsxIWNh- z8PJV^;X!#Ci}iOXP6Cvk@A}M`wONn7?ii2kd;A9|fs^#uF&&U;K7 zrCQ!mT{8W*(DM3gk_hAz77kSG#M38V!Ffcx2~o-){X>6goL}cK2t|E|(YpHxQH!gg z{!5y*RAT+>K`A?z*Kd~)>*VMS7R9FNu43R|!zCZmyVeC%WpEG>H3P1R`s{48Nju`@ zn`W#IN0C2!V$EeV@T??^Yfl3ugMX)^D0`5O_Xoq)d!ap@@zo zt!S;_fW~wL$PH7L0KZL`9$m-+(e1~U4A#|0XBfXUIr_-|s{RU$bFtNnXVJ-dDzy+G zJRl8!`GsDa72nJ+3`@iI7-cW{)vGJ&N0Ik2)@BK<_ey(NP4*j1O?Jqdnwl}(xj$mw zhhE~85Np#DODk9-q;CY(`Ec$S~ zI<_?_rQdAud;MP+_JOzKe#Y|xBX(4R;TW8H%fNR)iYzU;6qRc|)Skcvo28;nTDeUn zvf`ksY3QVd=@iAIo#RW&5#8++jDM_t@*GReMAyz~Ui7N*E6_j}5b=xg&eQJj&=@zL z5AMF*hrY_JI_8h!* z1#C{k5h3f8D)1La%7SgS*qYuIot(ZOd_=^4zeW1}_SJgUU{d2$hwi@-&2AF3?EaL! zS2Lf*X;AD6eV<=56M&?ZEvL)aAJkq#WL8U}iN(PvLPrsFz zABp(!;5nrG^<9$`zDK9zYk6>-^^!F;pP=jD)vKl}Yr@hu#IzAC(;9mJzJe_%64>T1 zcF{B=$;rcmP%;_K(n>s8vtI1us>?_d-j}v(_HXRNpEY&JqjWqdO3l8Ie^gtP2NquR zZ?XT>r0M_5GAA}_Mnm{lO`GCN!0Oo*7kAd;%^9SoQs+roFiI3Osu8*P>$J3_9M(T{ z&|_z}W4kWQtnZk4|0fQT4-ei%&bXL ztRMQ8OkUH=Rdk=#EorJxK_nLR(-YR$d0ZJjRn`q?R|~D_3Afa8eQhM zzp(zHH#q{QMs)rv>~3Llt=ErNVbpyGm^b27K_X@g<||jo?)CLt3D@JDcjzFufUMzQ z*{Id!sLF_|+T*V8$=%x3NsXh2hsV`GLBc?rib;^T@!fdBKOAv+I3HnjaKM!i?Wr0) zPkfM#SI_ZFl)-m&Cp$EM2_N!~Vd5k787y8%Y~$zQ2@A1Dcyh_6L0~>zo;v4*^_nhR z68BDw8vfxJr`Gq}?)&E7kI<9r590b2tZcQWy^$ZG%rU9BP{7V^Tr4;z_$XZ#DdIwp zeeRlO)=s?D7t%*WwRLp?l=)$1xo8fq7~FIu_0zAsLJFis{M^{i4y8McHU$p?pvAFx zL02qPrgY3z>=AYP}8s!cGldJK(-%C5ePHp$T>$!QM?SQCWLfYAv90 zdpJ;$v#fh}5afHR?6B0rx68$t{A5N}hA!T3tNjv$2>Hme;2~Mr%ggV~onqtjgWf>u zD|BA9|B8q?l6Eu^y5!{*q40S0zT~y6E%aBF;QY<^#f17?zxHsaH#_^IY1QpS(Z@@I ziU828CXwQlANMDv($aTdxIxpLydt0fiU!P1CfEAy?i?szXV=kAbCt$UTd5wPN}|4| zIck3td${#_I7&%q4ba3N@y&k>W~BcRJc=-r$*~0x&Tpjdaz<1gzTsPu|AR_FOFkwa za3t$~7bN&j2pvuov0r^&;xe(mf=4A}SXGRfbes=m)h2pLPw>XU3{9*Itz+F1-S^%P zq^@3UDiB0pC!&%Z`(WIKKo*U~i;dvU$SS?t?JZs|qzpH3`O|(}S3oS@sCIbuU89R_ z^3U%Um=p0~TmIPO?fn3uFb_~S!GABUmYNdEFN&ZvTH=8&yUh}!pdBi2E*=iKqa!t( zcRW^9ta~d;)w>$6ZQ0~yM5@5x28}}srN*Ek;$p_*($mq3rS$0k=!&parChL4z~vn< z$1@5uc*Mi-59ML6c5$AP?^IliTRXO_8UTN>Gv$rdt4pPBZdnmp=XNN{=78TU+jXxD? zT>7f<_~%+TCzHwn>vK!f1z@iL)mU(oO4F(LaRCYnJT-wmJ*I+O|C!lwV^R_mtU_qL*SXH&bNVI}vXF zIlTtdTq48AB{l*yP~{u~<1LbtlL2uAfu99syEE4H+s?KgLLCiW+>dfNASI;h+h$Ah z2wC<%UJl&aQr;G+E=Qs?PE0fp{=L4xntHs={F0UPLkSSC1W8ED1xe^4(5W_P{=+8a z8XP$E%lpQA?rIHWNZQ)kAG5Ou&fH!0|739EcDP=$-ffRY@6F15-MZLqb2Ml$BFp~i zf&bR&$F9QdEOu2~@5ZTR4WEUWO_?SL{ldWZ__mEzp@B0{bvz2`Md#K&9`s7 zrlS^gfJ_8j*T;+uNg&MM<>nGwQj1>kEP289(6CFlSlOy=`x0zqT%$$AJkt#kE>~xp zhWw`LenDN~mncf$986ww|HB^;pwi^WsXils3%dEbnW_OtHSb?x0jR-2}oRFe5R*5E~&y1;`IcQv_i zph1<3jy@e9Pm#RSWr9z=iKvJx+a*PrPR6vJ;_GI62 zVhu=yfffoGBI3Hj2()$N`nwfCf)W9fYizXgckiC%8ddi{{HljQvSgxR!W7L;cu4L{ znrn6aPW|jcVHl9}1bpv@=lywDFq2kL)Pc6LG!t8LVOb^lit%8oS^~%zE(XZ7%AFz{ zK?^dKLSL4E{)siEF8)8m3bqbgmPpE_u@M-Az=t~XgL9)=jD}pez*q|wWhAXgKp}pVs>wh>^=HY> z@zCmeeh4bEUcp>^b*C>H?2Xgv&Y0@Xx2$C+LqKLN6IDW)G~Rd{OgC19G-`mdAh8Nuu1-N8OiM+bC+d|aTJd7*juB#oKrUy} zIu-@AJJR1Rq8LRce&LKi9gJKkAif3qe8dQ868`W#-fkuoO4=hq$mavfwUMP#?Osk^ zxIG6>G0@-V=hL9u>|eb2Gk{2F3~0SC2CiQEunVq4qOBpO#mLfZF?EulAh7a)SeJsT zvt|a~48j@cr2u`VDc>dQ@-|hPZqN>!K@n&HoEi~OiIzIO53H8IDYK^b*wr&S7!+%l z{|4%F)3xW+;gK-eB@iX$t+eCvjBKx1qeZ`Kjhg?lYJLc2@Ff_KZ+v!n>VqyXo2l~Vi@Jo`+c+z5|3JH16XfTzZ=N#_57zy~ z;%jn|z+)X{-3#0x<`-=TH_PfGUT=h1Q#3wj9;6Nv1L-Rmy4>zc8cf6mDl+a$73^%W zzb}C9QFivk?+7DaFkrnyd9fkwX7{6L7ECN3N91pMs}HWjWz~?UULlj&5s97ybVDw= z9+dOs_AdGMs9V0WC;K4>oLDOK=b~3<9~w`9{wz;}A%RpaJw|4{+!ydFxGxq@kWzHN zNKgMg2M>}wfm4dbUTq(lsa?EdT+VtOHWa_zORn)RT1g`@nyXAwfbZ_PBSF1?^^!JD z^tV}rLeIS@v179QMC%`wdo=ga$QoqF*Ask7*x#ypLw>a`1$u*-GeBt|hVnr`L@B*YH&3Y@?L22T#B1~st*!A9 z0j-1bNN-EL0fynjpK+Ou_gu1~OAWvg$C!yX=)NO-EMr3N3!D6FNE9RRuXKc?}xT4_u1U3AdB7LV1(Sc_w8tj~|f&zKYK0fi-s5 z8`Opg5wIn}x+TjwpzNM85hQf&WPs<<*P=EZy5Pt&-LS^%5YKGz$12*@2GUgXS#6{O zS;`BcN*KRJi{=xm)j}^RHv9T7`m`dcLSKR?i83Qmu;YSctauii@dDnQps=Y-LDIU! zo)F3Nh8hTe`nVZ3xxq(lya>yNOO6b#ug~|dnsX4|T?fW~ay0E`TfR+W8;>*tzZf3X zOxs3#i=KV7Nm!IJ$@%Om(_ZD#0592=M-w2UsK*!HJYv$!XGr&LI1f5HU48@6)@~{6 zVX@aX!q?e7>@x~55)fKOQ>D2lo8pbh!OS)wh#lc?pa(v76ycd7(EpKjZ1}^&@B^fb0Kbg!AI)> zrlWhSAJFCYz05?#@G<$w-UiKoCnK)PbHp9~2@3)Cf2x-#g$cG=9Eq%bLyW4QhfVK9 zf`2j$uVz*S=E>?^6V&CT7tI|mYJD1Fitv*#;%wjGd@C|>{eJR7YD11*}_ZaX%r zQjirqrBWQ<2e=k=B8vrb`{O+(u`nP|jsc)%Lx<{pl+n`mmDdF zS%OI*IcwjVxt%Qo3ph$~&Dw1lxDUvae6#Hl-#J6=)+ru1hTZUHT{2>8tl@rbsYAfe zDs6g4rbrlMzc3iEyg7i=I&z;<9 zQ#j#FhYR5#6~S!gGJgHj)2yq*j^Wp~)Pq#GD^c9%<=+x?nWqzxdWP!NVI%uw?ezH_ z$&mk+XDcWC<2}P>OfgYIQ0UKZEC(Bv zX|A(AAjX2veSH^>({pm|-|Qs0{Nzf+d+UeC;!+JBq{bYIMIrc>-hO7GBS5%tV$~uy zd+iD0`rSn0sAJ3)NYP_Sj{%s+dnb?bYL7UT5vb3-U3xc@;#C@5sFmyF9mfe>An{0n|(JEGfv6fTQif zX~0kAHDgSc!Ae%@w!ThDCV5qtg4HbiAP2uFtCF&N&q3WQf-Tj)gE~|9Z`cJFmZx^G zQ*ybNr%jp$C4nrf=>5l#tNZ?hlTXEbkYJdCQ2c&Jb{HR}az^1l9-2ltMB*yKl z2P+|-X%CJ!NLe%%xGZ)TC zuLU8~NtpQ&Q~fiW(xXHB_**^z4ghHE-F4sCju6u-|9$oA5c6s{;-SV;U8?rdndCD_ zP6InQvP=x6EC;pv}yA}?=N zn1CMGN%^MPept4bsBQ&-eV|(})fzO9OjjdRAPu~jWv38xBSmSb?vI^}b8fpSX$k?` ze0)ofnuxC>>HOJz;%L~=#{o1k2!PN%zN&Mici1A2GCg|-wiC3$kj5YC#n39TiK?iM zVhR-DmT_^b5E+L4@$lD636r)tLk%0wB zWJMUj7{TXA3FM{qidf>W`2xX`n;MB0r5q@8C!RNM-oijfZ%hBQe`IbtMuPo}>v9){WrOZVCjcm0^Ptj#i4FB-(DeDCG zKYbURQ)3Lg9cqW#&-;5}@q1C@t9hqmk~jA^07tFdA9|f0RxY;t@xO3d{2uTi9(WBc zolz}v1Uik*$NQtN^DnYF?~jtl9pR(tHc#P! zl$=%jZy^<_JqUnphC*N6pX-0#CR=}qh1%5i?w+jzhXuHX*>(5cv^OCkV0{btx1hjW zJc#%~^<)2hVN()^sq&Mn!#I#X%W=qEk_TecD(gcqDoKa+sfvg;llHYG@+gpPP7Eve z!AV$(wPQH~0y02h0Ax0R$*XJtqEZ5Y_n$IWQ9h0=e}s}e_XL5A)3Xy0O1rcdoGd#R z%u!LKFAAgeI&l1DRd!wU69OO=q{W=b`5{#4=;27zFg?DJ^;+Bj@dHQ}0+SB@fpli| zp;S_by*KBBt3kJXXF-e6!Uj~*pL`i~yMnCd!v|07uU404Ok2jo*bPK68m8a_p265p zq|v?e3G)XWjNUK_9gKuw49HdP5pv+w{Oh}eY{xe^5nPC6oxw!gRN0$w}69Nu(DV`bBfJbhqxynP62i*|n8ToQCPpiB6+H!ddZ z#0gmiTR2OCqtd{WUK}uT6e6Y&9E|X41}>FxK%W3ltP@2JT;AI?fwao=>3=KvB3^=) z=zr+2DeptpGpld2ro0QyTt(3XMXdCaRnQj(vnDiDHsu?v=zx!Ey5!0bD`2q0KJJd6 zT?_o_&^wME0OKaa%w1+t$Tc?36FWu7S;G>p!t#u1alu)>CuaP{xUNbbu)DbZrWt`w zrJ7z85MYAXDmU?6lf4YN&iK((&o&EG=ScRW@BQtfXSM`dC;t`iMzKkl+n-jhP3`_R zr*aQ9(u(11eN$E#^(||1i)kFVnVOP-kA~PJM)44)LYFF8rr->(RF#^RmMg6t+VSum z{xUERV|haR*ydM>yGnHc*^7<(8b?b7!H!G*Ah>8wf6R1Hl+v&>kn;Zi{tXE-cwTN- zo#hbV8P&A4BQ}T93qU2BySu>EPg0a5R5@r1?9UC5)m^;<`YaYMei9!=C=rMaEn9G} z?M_#))DIIwvLAeW9b<|>_?2C(@8tgnkaZx`ekW}F75u5u(>Zt?Z(neaCnImN^mvYb zz&>)qHpQ^ouFd`cMCY-lHt@2*zWz8*m5=9Q28k;X`Sk?1Xi^IbGO9nR0$bg{HCutH zxiQaD7+IVoopgat*3|5Z+J0iJ79g->W>&owHiALvf-)_;?-!z}s6Ux;5f{u)2j85P zCJR0O;hXk8RJ^2LqJnXm&z`76A-rvdho_ykK!k*RA@Q%p5Syu_N|ukoOn5jBGWSwM zxM=W)|LI_QkdZ+)H8qu6RK#!+O^Uq5VFG1y{v4w>p9lm3dMQ`w;d+j@1LF5&tp;Q@ zC7bxG!wr={+(Jl5i2kcVkL;VJ_d!pk2@Oc6UOibN-=M(VzrI-HbFYdBO5KV2NX?$I^mP z`$fHG*^-}H=w^n$lP4u9%ns1`Stexd4b-|VdAmRv9ZD-ATjbt*^EDvAtribQXXm+s zO=kqUsQP6h*fhz&R5)jWC~nXUdaqo+i0x$*dJ_3XcK#gjm%T99>;H!v>Hz#l$s8)uA>pQ?ZK9l9Lsr`}$s z$brKx6FhahECiUBQ;eM1i}0|DWK>s}6fal3U|iURwz3jhn8_$zsR>tl$QqK0eM7@fSAi)PsE&%zn(Nt_(mk zra^lxO&c8K-z-5~iHt)*>;o>5aI~DVnys}>_IWjw<5sQ};3)E0>}<}})h7rIzjR?B zo62!!1K`!7yN^QIfV+pGAXo-*b8j%z%eiNWaw$=9UH1QVcP8FYfBzpJDoa`VkjN*r zkdGx>O=M_G zrxHhFTHd%80P_K+YlsgL&9#5|xs3-cJzy7j8A`Nuy$50Jaln=$2>FI%o!B& zVsGoN3b6Vs%{p%rE z1#xQSDn$-8OkUBq(Tg2DEax#j>z1m2=An_CL5vllIxej#$sV%#Lxh@l)^b{^HDuEfH7Lgz)ZT}gcpJ1jUIW(dS4aD3lH$xTPRrfx9TN8#t4z%0du)JX&xZdmt|(~c-!a{AZiUHuFT6)AGozQ^IGWdl8fkMB7N(DiQG9L&&ZZcIO-Fs>Yh?YVW( zrh5)A*vb%OjzDMNN_ZhyEtq2+Djz02YcZ~=dpR~UH^IJYE21Gnt^8 zW8Byb;Lx10*7Jptvwxvxmf!Fh$ahv^Tp(bUPqp>= zO$OVj#=rTCA^R&`>Ax>zOokOo8}2+D+(jQb$Q`5wq5}V@UfG=dbqF{D`%DC1%w$i& zj^(*OxF{`Wb9-+u2m~Anm##nJQYGHMGTUo?M$O=CmR^GoPobMQ*cp%gA@}C{`Pe>Z zA&XO5Q~IZDbA2LoX-Ox-rEcx)Esi<+A-$zKeoaLDy5TM#mQoZ^I&jYH`zREh9#&E3 z>B##OrDQBRa8c!`h^VNOpVKMggNy3zVvNV=qap^U4(gZK9@2j-UfJJRIyB5*mPkPl z*VldAO3}LfOG*5_ub&LFBPlyeb|QH&VI=Bv#O@WV6?R0#F9FN z5Mqx9H;4hvJ1kAehB7qEVmwvaC64V7ptvP7mgHf}+mY2|Ki5(CEHl*B6)zx>F%S_Rt< zxjfYR<@5L#6)c@2#=Y|OQ*(4fg@>btGWvb&$IKzknT5*=sVGmoK7laHO*5D*Y_=g@ zeeL;+ioFfqT~$`pGOTK#hQmxhX)edD2&r%^^6cij72R1r&{C-y)>>&VOH|caJG&;& zsyEbK+j!l51*<<}-fC`^e4b_I{HfaSgjx7>vzX)pbLgIi$}Ja~%x+3L@j)C7Ka!Dl zH|l#+NF`?Tw#^gaaDdKpm}_0NyqUoP(Yr-lkU@_Rk>xEiH7Sve+b_bFw21}?7fUsp zh>Udb=gZG^MCf!f{H6xRC9wC0Zy1InZ;eZ5-OVcdooQZLq$ho6@ zohli@DLq~A4xXhM;G-ZU&`6X%j-9dQjpR|BDuJzn^gQ;JYsgX(2d$C5Aop%&?5 z@VIQ6;=cnZSkurW5FxnS@{~ww?cL%sK{t5cCaF<-J7jjgJ16PuYl)#6@0Kdovdh4l zjYVJ3o@2U6;S2NPm*!2X1;>QqhQ`J!k@bL`0Uzz1p}n%~oZ9lZq$m1>2nm-W@SM=FPfDU3N$xF4)^$_T|#@Z0O;jl=D2 z)I~DPt;iSU;bFL_!d&?8cZ5TlZ5YC|QT%9RWMo%TdheVkp@<#q0~$@I(@7mj5+S(3 zX$%SojaYOULJ1v=wk|I+;gpAEn~rcHf&g6UD0hd`w+xncPQ$XnZKalo)cfOSM5M4 zUkL>FBfeKRj}gs@9J=fr@L?UEpDJSmBn*m!#Ied5%`m&4q(-a|G^FMBKlwp*$m{_O z!&47xR_ntzy!bf{naQjVRNeWZmBbz+Tg6Q6KFrI@Blrh5WTkxEhDrF3)_t_zJI=7t z)~vD0d=CYbDb!{FAdG0LLZ$D_2kl{t@<+aeYt}rpVH*iaRe-(S=(z-jGB|v91dhRA zunQB@%dBpx*?xkTx3}()&8sBKXU2o|IV&>-$W?k(6qR&o?Nl!J&q^QJ{B+mI>+%*k zgB2amA}9M0P%_q39{#f>bjs1gdkJ+r2t!|-sc{J%qd9Lsf(&QdBaN%BVBQqY?cY!7 ziT}4{b2=fEl9A;w!FJ?%TF8(QLU>5rz-k?88(ke)x*GGSGVvKOY-sZ%MFyK`QyK?f zedc{xqkS=Arr-%XXE=D~!!b$ zKVnMFv zIN|c!gug7g{-oK?r4=5Es7(GB^(xJ@33nBLonR8`v+&>h*rZH?1u87kO0<+Wt-sk; zUL<~gvi%W>tvB9q55XWgD`CgT%2HZEdO%9>yxM*Dq?xJdn;i7U(wL;Aq+;eh!bgt~ z&Q>Vn5+08qZH;baV?{+pujMs5K-bofS5c6q=jdvylaGXlZBy?^2oBk(($$`z6!BJL z!qD?A=7smd#z@w_W}wV;Nd3F%(B6Z8V2JKs2t;tLc`r35mD4$P9k`w?X23V{twzrpef()%z`39K5 z8Uh9LOS5hP?CU}T0^n0`=jSeA zgQ3p_K66UHu#VE}lbq zO?l(3)O|Qs?EA!$_B+>~e#>wuxxv*nYN;b985a6GKWeXh`FrK}xR9s-;tA)qs$cb< zQ8=$cELU>yqiy2(A;zAw+69H*+3-550b4I%Bi*T9n={;}j$br(ABdw(3n@ZeK}M5% z*#)j5%j}h&yP%7P zXPNZ38Fa}P6F+T5l?2#UKk6^iF3K|0RAj&v&tA6Ot_>0ozz7z1$J{@ByNCbafy0<#(r#4_Wu_E~als&{O{ zJq;W={S71K{M{wjmMSG;@UC2(ORSCH&g6=jMyussntWJmEBZZAJ0$|q%K3&FYW6j5 z#-AM>xj=l8Iyl>t9J00$M`+L`VN!(W$7V?{moy-)$k;hc{u0P=R_@T2ys2HP$EAEx z>$tk}xa-cCWuKWpnF}?^Xcd`0k22@i9&TIVyN?4rr9)whCG*S>%xNno>tb|ZYt_p_>=8n