From 2fe1af4ad1252cdcffbb28709fc94ba43da58aec Mon Sep 17 00:00:00 2001 From: vl_garistov Date: Wed, 24 Mar 2021 10:59:08 +0200 Subject: [PATCH] added a second I2C example, with interrupt --- .../I2C_interrupt_to_master.png | Bin 0 -> 111818 bytes .../I2C_interrupt_to_master_master.ino | 62 ++++++++++++++++++ .../I2C_interrupt_to_master_slave.ino | 61 +++++++++++++++++ 3 files changed, 123 insertions(+) create mode 100644 Examples/I2C_interrupt_to_master/I2C_interrupt_to_master.png create mode 100644 Examples/I2C_interrupt_to_master/I2C_interrupt_to_master_master/I2C_interrupt_to_master_master.ino create mode 100644 Examples/I2C_interrupt_to_master/I2C_interrupt_to_master_slave/I2C_interrupt_to_master_slave.ino diff --git a/Examples/I2C_interrupt_to_master/I2C_interrupt_to_master.png b/Examples/I2C_interrupt_to_master/I2C_interrupt_to_master.png new file mode 100644 index 0000000000000000000000000000000000000000..41c47c843eb106f1d54865c36a290668504d6583 GIT binary patch literal 111818 zcmeFZ2UJsC*De}Gr6|<^N^eRO5mAs{1R@9uK`EjFA}S~%Dk2>$G^2okh)PWmMYfQ>DPpW+Ir_qt2b0q)o~qB@w2bJc z2Bq!VOyrc--*x$9!!gYgln@IGwxRn1>(&(6Bb$$r?u%S}_-qTCngBQbX*tnk+exg%d+N?NLkM&3Q0 zGtg>Ndd4+6fHF(7EP~!-Fyy~x=hNia$?maK%I|}H!r^USuOJcOJn*WAyDJCdHt8DX z8%=dP;Y5CA6-$jksZx}tx)-LrCEN%^S*h2(0SX7(?~Eq*bf~P!ku5jPU@@C_Rq&g4 zao#Lm3%IrL)5^D$S*3tzvGA%sf`!tnLOr5LDGMQadY}Z%DXU?{J~c&)g(ql1lZE^#6jC4?w-q9_({S8_p}_5^$`qBgWr?2WXLL^} zvW2?$3G+`|w5VdegzgJ=x~xQ|O|8!EerX76J2@Iql97aDxqh-^X#bR_6@AmA%T^@YI6B zFddARxVt$+IghA8OfD$w#2Gwx+JuQ+XU> zlyclP=WI}@HYhpHYwMtg->~x4pzL2^9_i&Z5fmmj2 zi)ps(#wE>dlLa<0n^5|SwHhl*YA=DVazCu+Ed0Up1h?gKDBN{`K!@@JsHbbg3SQv0pvr{Mn@skA zCcti}ORV_&NroY^pN*Xw=0LTBM(;T(S zRe7!**U-`uMy3o+PBKSEM)oLlEiZ0pcU_vA0r5rOfq{XB_I4iaxYI-9CSK*ys&Fc9)%j_=E7H>JAYnXQ&V$jVnX+Z{oKNW z&+zwmzFApWG#*L>x?TQ=hBf)zZ`{IUW@n4aSC@ZlX^|Nd(Zg)MUoLeH!zIoG@B0P> z1T_5oxm#XALEYHccxZTd_uKq@*Qw&kv9TTF< zt8H_0b6#+}K5aNy!T)_Q&cIHM^$-_guvl**>0@T5_rk)0g{T8)fRLZB0N3+OMTf!t zot?@~Ee%efeCv}Nme|jTod;G_wFK+^XA4Rd%=j9YN`y%;x0pG0#7ufpX)P$L%lf8E z^Qp`$uRInqqJ7^*J0B&_Z~nCbf%%1j^LE}ql_?WlNw44dI!*?7bliuTV+0ioTpe@z zf^|wBZhgm0Zt+~ZBfH!e5=&kkR8bkTlAry0q%?Ss%<*C~lx=0mZGT-lVFF!%t4%O6*`cf5`;25I0B&vW}lgJr6_c>4AB6 zAj*?5!r*TQ%Q%cQHng_NI|a9Nbcn-U5B%BjKIN}p60ARp3!#r$?gVRai=+J&s}d_r zHG21TEhsgs?!=>Fc9px1nmoIol^Kw+jCe^XD}DKRD)pMcg)D#+#H;iZ*{Efdaphx zqSib?@Xs<5`(Q|>bQkxR4mLKUY0MdXlUBf9F}o7zu}$Eeucqo^s@U)`AAr_+PNDI~ zw`=}W|KM_9HN5WZ+f(5jufxODVQGl+zQ5CBMvRx5nwlU8kW!Uw6hk+K{8?pcEAIJL zWPOkR*AU1&*~#l}Y%n^Kn7ZLjQwWj1KvD!Z2(nL##1p2cCOZCd75jRsJh?lenW!V- z@6my}fB9cr`OvJM+@5tH{`{Z`!2)TF*k;q-=NJn2tI5Gy>_4 zj*j=(n4+R0AT@R}nT*Ux{?1)u8K}a#=CTPjFC&GPmXYy=EAnnW13vp~4soU&Vh-c@ zN*DEW_r?98+V7+K92^|5o~sbdh1K-|v8K!kK9qAnhY(z>t<}}_!3V*7C&qvC?~ALL zk>O!r0X(?1I`?k;h+G4tAd+J(h_OHT@4$k^Qror-Pfl|FeUZGp{K(M;5YAf&brIJZ zt>4((ycfM`Cqi}u0f+%U7SAUY7keJ!pvQiKfEPo6k>-`F#6q>fyizZd+xY0rsbraT z%Cldcu4q}CWv$4oS%$1YrF(F>+PH}AX6}6RP|J2dkdmvl0r!021j0vO7FUcZ&s^vd znqFtx{NXigIs^y@bNhm6bnBF*t#qG<6d;QH{@wmlz5T!|E1x|&H=lYGg1N_jAXRHe+AQ+cb@kS>bZ?`JVXL0K9Tu!iTXJ=ZWSrsF-_gj$==Y#1b67up1vQzNxB+ zFr90($6f?Id%`ZT!QH4#aM=G{3N_%ygLT#H?Cgd{Mi_W`c?rqM;vXU4g1;QHZT)x% zNJ0`ZH2mGr+{^(%`K7YE3)qYvhznLB2}G`ls3`2PJq zB;eK6)geGyx6wt2Z8)bUAL5L0iyn|J!l}*8%?;nau>q&<<+%jp$9?a03yCBtTM3{C zt6Cqj^d=%Ygpdp?z=UeEk3T(kD*UK8a`Wl;Xn;WZj^k>qQjiH_3LdNJ|K<`VB*cP1 zQZh0vS6)IMvBM`eDk|!BDex)k%b|1Y)DA+wKTaf67vFquzyPcP=zNOAv9knB`H9ZP z_h*1DM!Qd#IXY&;U0aVDVYs+#F8L5iS$-R={1GQLBa+JJ$m;*jt!?ov4N&#{OS>kM zt<L)B~<0B zOF{GrWC8Je(S|#pBL>ma(=*(ph1tAc5Mh6PB*~eFP=_Q&;JOL_g%=UEB_@i^&CmP0 zK0&1Z^3kIAZNgDi4!6O@t^115JYt!-_2SF^q+9Y-{b7#l)v$)K`~ z1lfV+7ZTXpK051|-mFP^w{<&*M)6IQ>UcH5Ivx_U&)KnIUBPCl*+HRKmU%bNiYg1 z<3!}IrWqRsEDE;+Qn2-mBGZSs3+z={q@*{_sNgux)naJ8)&*F6B{n-y8$NYA^os}6 z{XQi0Ael(;f?}r3*Ju?LvK7zO&tpF`N_`l)V^u^|??9^Ea&MxQ{l&ra92^ow9w#}? zmgXBeIwHk@j`!gyQ;AB(va~Yd?6LS<2+F>%tA!EersmJJ;&Z zxcYw-?7h*H&_s&fiL%~;Fg~R<8Vl*4>D=HpEguM10U-sX@gKwXn^7^HDTNbxL#fLq zA1{6$CPi}#>;}OU6z)ynm3`77r^dp2D2zP8`mP!)+84R^!PS^est4}*@ovTf_Hr#_ zc3VTC!F$P9l>|ag?6Tg*g(^!&Ml+wyu%2ko{cBV;(hz7WKGofBERf<7eWmCu4Iawh zJ66avzBr>V9yd`jm37744~J6cP41D0sT^x^o3A6Yq(c<4SQz_>T!!d@Y`+DC&44$V z683+DWg=0E6n*643~13fqR-zB<}Kfi60rt-l&&t%#uk?kR>99w9Vp5?C+=4P<}Ta{ zHtvw!`2+|w(J1+z=&VR>lv0}&$1z=yV?eGdv9mqbzBKCH!g0?Dlt3U4ZjaS)`V~^N zUW`2G=$h`#UOQNk#k!`|QI$Js4XS2yvCkyn!xOqQ!Ms)gcS+Ed6|NniN(`&Yv<6w3a48UHV9gTjH( z7Lt%4F94$gdDK40)V0ImQHx6#_e!kF;wSKJ0r}ViOu(H!=hM39hEnUXS6x+=0n>NY z^=1aXzS`+thW=Tr6DD6pb6=7UJHH2g4Egl!Z_2m?Sh)}Vil=`Q418F={^6V9xUg*{ zb*B02F9f{BMw~ytU8&iY>M%CQy9_)m0g@uLQ%`GifK!6W}cVLkkEF&WW`XSa34p>Z2KZ?!H&Ylu=h7SPRB3c-5 zmL9|%MAY@6sF-6l)Pog@=xn?%Pk^wCG+ChapbL=Si3fi4yMl05KxBRi@`{kN_ae&s z5W~X`@)k6Ih<3aP;R~kfa@mW9c!GPfb-OMneqZ}AKqZoDNUHOpZy!1o8VujQL4y7m(!`Uj$U3yXgYtYL z^Y3{hF9^l{%b+c_Bk_P<4*5`R0=BBXO@`-DUiv)8$51P zpL@C=F*8B*&85d4rSF4s3DkMQtd(Fr()iw@`uRQ>>voTWKLXaQ=Mcxy7BwUM=niG+zt*4EbMgzedy3nacYG6EVv_BN|Ecx3Ca8YAp zqm*pjw}M1O!I%5R(Tp7eSD>zE$KNkQ0O`CPS>4H3hp+rl)ft`Lgve_YrKa!vi05v@ zu}9o*R$H#M8;U@I3FYh13((hIhr87D)g}O^2&5c@cv|oqhRStYK(|Q>RV= zy!w7XZ)Q8zVFxyg7SZH_E4!@PK(ZQ(N@Ys*O?^zR6|0|gyGdMx5|C&eKv1qX=X zKoEhr9UWi>Rd)J0uCcR|52PqJL^OQS08q1U9W~clXB#`y>|0XOEK18?7i|*PA;jnA zI!_hz*IX`2&TPhgbef*G7)&u;fBl0a&fwYbt!-`Upu(KJ_Urj;`gLbhcKi)C45-fU zW~q z$RMgWRyu$11AU$G!x=!Q#MRXmdW-y7CqOIPsI#ZvKX<{jrde3d4eovkjUf%=8qB&M zYgL0CG}m#4luXd0q?ajyUPcmi{Bo9NFrjkcz8m1?hLplrropAGCy%rug0MV(hIrF$@lB}f$|4w zVnN%75Epl)T~b;flhAU)EO}i;AHL9G)n&eD`SRS+A`;?XFhU!r7g@n$b_Cwxhp$w> z?1c*U{;$WZ__w-|=jP8hc?-ovfn%KkpiS$}AoGtzBFI1^Y3sU$zC1}y9p-M>%Z+DJ znrpbNj`U_u5v+#>_m(pue$9{Mw8(4Ww|74UqC33jIOg?H+twT!+mD`akqQD=GkTp1 zT1%1or!e~`BlewntHHd%7TMREMl>MX;>H79Kx%_g)kJBu__>ePQ|AZAs02NY$nY@y#=V3`hUv7$k|x|A~cnUaJt`NBjttui#Xi#@)Ksk1SDsMfR1{$XFEqkR6Y!8&%rF$ z_e?{1r~dES^VR7Z)hE00-{h;n5C{ur{UF4zHqT#IBORmVeXcD6&9>V}WbXqahdMlS zU6K*edtlpVU|R!r{D`v_g#UHuR%v5i137*nV3I+`O{(r+n6QXu^Dh;MA3lsUl3JA5 z=&=p5>p=7+zCZJhDXPz()7{61DD_+TW=I7}5We_>#$YLjpy zgtmyb&>!4Px>|b94f5@R_VH!yF`U$0Cte6^?7qa0x_`8pnmA9l9>MNZ3ifuI7z~?L zox`M`5a37RxnZJ_=$+s&4Fm-|3$N5II~J5?lC7>Wemtj6TIRfP$SK*}<(j~HFuNj- zHe`DOYyHRsdo|Wjkt3Oc@Oxb2Pr22sNzx@!18$DM?2eIH_tKv9UHH9tEVwX~sZe3E zm~yD=zc=9Gc!KzsMYM^O6#CTMXN0{j8yl&UtX*yWtJci1vSq1vl^oBw}7V z&kkHUiVjt;eZKF^9qtW?-RxN&^o49C^;$$6E3}e{1RC*FLgfiQ)Q)VZ7GE)e$@txR zU*WbiLXY*bwm6NrKNRUF%%aqSpbW6AQD4_~y8!5g83{us%zxd1`VtZbI2E6Q_obi; zdame2gs#$|E7fRlA%?vYgm~yRRWq^TV<7PaT<$?LuHnzYXEQcX(`|&CmN~BQtC;K8 zu2uE(!q&1lS3$Exp%lv>F<%A49Cu@+rKQ1^BCiu-*(< z=XI-XSEi2;ltMl=IvB1zrQ_SN{ih%`%S1}A88p6J;oFZj>c&}ss&Md~4!boDgj<~H zu#jjy%C{`Q8BAuEZO1l1Z$coiz>BD@JHrG%oLBxkJMjf==}7Hmz@B*v+1~xv>p2k} zwke_y{1s_XMO3Q8+(-7d3exhs-GX*F9=e5TYuvh4uurQl2Fx`TjB1 z^8_{h!igIkzpZrFc?WjqwQJW{K{8sH*2jZTu7Nl~-YTR|Qc!649b_g9K{Tu?Tkp44 zRjXs5vCM881RT>i-)6j#Xz?M5md@Tkt_^?f`Ctu+r^DJjT(Rzu@SfG+gDy7?%QuK| zlR#tX(A2aD?}Op#k!_U15G^1-kbu;`2T7N2Tk3PIZ%~Mc*%2_X>&msB*@oc^AbxXz z9k~#F0|KAl8Y1|-CPWDh*;bD0z^~0=OC30@gA*otpSV5QqLvXtBk`D$o*Sj{;w;=RQ)J!)Wu=CmLph zG0Ms$bGVFM)W`YJ7T^4dyu`Pj-KQ>W3pt^?mIl|l=2V@KP zA`Qk{{h`J_i79yrmy9pm#x7A7i_Js$;hc^%^+>|E-*B@E7ZEv8Q5KJ=7&w^=bm=$qN^%p3)-a;6p+wN5n?Id)gElXSx z2!p*X&%x%@yIYGLj^;w5&P}Ud`=eKqv%4O9)NZ=Z3`+}wm!M*lbWOus}KB&n*K*1Cr4y ze51oUb~v$S8EF%n5epYhH! zVPU72!KOKBw}U2__8T%d4A&o0;5u0-(+>YhYa$T~FX)fE3yWaDs_sXw8R5le-S`>& z{s9!is`oZP0V_93HH&=pukZeEK&4Ru9a?N)Ioh23==x87r~r_Y2wPx&zqn38==vHWub!0ri3GV_P%m0==~aNj1wpFgtvRbXMkSG`hgxH2LoM9#5(sCT z7f-2;h&rF}B0}gecX=e5|$35Q`(s3iqJI~+Eh>RbWZ zhku?xT=3)H4x^$_C9adui-doxP~@RdQ;wd?qplWRMKUF$D+@vQ-B&v4>2dhNhLk#D&%SIay37jd&lr>=5+@|niBhZCC!IXo|NU^M-NjTR0G=#toEl)dbgN+ zDithf2B%YNvxzFHsAt$k+aJ4(QZBF~FN8zQ+@`-AI&?_2#LTJ3d#YuzGmzp&vlB_9 zJ*d_E+caB8ni5MVI`T_1>Xo@Y)<(@kVi2vayRBMQU~b% z^MeIQ6>|FX&1lAR2TSrj$@X)D+^BR7jP^BOI_xuUNa7-K&%Yrjc)C$)`q~N_n1}61 z(&t5dz8;2YS@5S@1=U#lU;`0)Y;jTzz$dcz;U1`}1Z388M@$^Tyq`9~J@;VyI~SRq z9=mUypqK>EaUa#agen;!Z`XxsW5BStPy|dIFZ7{qf>Q6ov@}TVK|_b#Hx4I zTzsu-T7=bsxG|mkPQ`0!VGT`$N| zOYAiMTnun*z%5L;yL@GwnT_r`JH73im%{p0P84s>SQV=>!M^|;A7Hjzn%EoFH}AQ0 zh+yq!Z00Uawm;7E8^8~J(iexV%G(8sm%e<%%V-I=>q~)ROU~%2@UF=TGyOx{xz$wj zUG^~@_u9roqx+<_FfKzq9k7r63!Du>y%ZXDh=_;(_Qw(H0^Hq|aj`@OEWg}9EQ!{j z(6;M?*gq#1g6_4!@qdVqRG6Wx|5pPdn^f^nf4hDR0cn#X*Z%Qz15N0jYh3xm0gTv< zYk#{uQJxJpiuV6yk&SEr_*X5-pFw2&aYp%);Ghd%#DB0tSmznMS2Q0=Jo$cy+7Oa5WNk$+Xtn5!lA zr9xk)tBjXrfKnYV)^oA`otq$e7OVIVD-FpMyI=cyJIiCuonYx=46V(D``V?m5(T^d z007ZQ>2j@Id8bg#iw>@F{gI*ndbcE|A4|j6e)cc*fTz9_>>M;(Qe#9Iw&%QF% zN_v?>sjBTs_#)$z$kDZHqOHIXlMo`hFvi#x&F4pP8~mBL(&bNaQHQ;WdUZyZ5U+dmW*09LwY5H0rOVlIK7p5H?uk(* zU<8X-de2)7X7E4nOxB}Z{c)mmd{J3;;6!Ir3svYV_1D8&eWZ$~&cQ9;ReB$V6zI9_ zr(C<>?-SV<~dWRfXs&@!lJA-$$N}v_fVe zncH<2E>bz}DX-j-{WU5RK&EOS{?f#X|0amuGx+U%mWC%U@rM_YOK~>8rBvo-`>w0z zZnJ5gx8FrmN)u?$wS5gd&VTYf^GB0tKZ@^xpABx`wmzaByf8n@uBIP(qw|9TkL&a) zQuJiO%7jF=cQ&=}2#4PwiPLQN;$l4FAiM+&ubO`PLc!d*xfWr(4(9cn3eVZ=FC9n{ z$JfG)5cdqgJqL!78(i&+8cJ1{O7YCUI-XOkG7Fn-^787M#*RZnBTS}+?gYnji_(*m z6T4R@Yv2=aAt(Uj)G^x4jHCQuOpV+0*pR7q&&lnY?v`UzD`e05&)6ny3rrfGO2p~a z#iDG{)xuXzxJFVFFPS-f_fR(VJiMT;Y)l1O{ht8RD*5eL2gG7+)Qlq=j#w0Ir8cN9cHy$!S8$16p8D|inevK(T_9{QO zvRhdYA>Xq+$B~~N`qwqxH&)DYsS zX|G5!ntOar`tzUs`&i7%k>iNkB8Se`1T!61M|#G8^jpJ=EqnF_*Vt-SJ+`n*j3d+G zE(3N4+q&*`FvKV?;(pjH=&fLG#LVNGw%O?I_*4UiP5%_0;r3(>d@n z^4|g!$-DmLmQY+{GwD^$nq;E|bv1OzGvFCxKkn{Wm;{r~WX^eDB-?yGMso_O`p~G* zc@cUwR(LYPaGwqVlNuDCMBO!9Mr!(<6PNjFzb+4AsrFtuS55Dp$(iF^ z^cJJo-#(ju`2_OHp;A`7iSj@59qpFJ?L4`+ZQE8->Ket^lGMtfe0x}&?OI23KsWdNcSSt@dY*3-v^!$)8=SeBP5{EMoWmcR!D?3_|So&V2 zK$vWAwndFq^0FX$9{hyt!-9`*3aycWXELZ4?b`2tKc%M60DDJ-n-{W6O^=5N{814b z9>FX56TqY?>B&t7x*~6t-@~8BcXp5yW@kp z{&`KSxiuK;i3w*18a}uDF&c||9`Hep^y!o8kMx!IQ$K5rHK=PrqJPx5oe+5pO4ZfCOJ!Y55hp9dH=!3MYc~#qLMy zNg|dcD+zPflnX`9C&MVeiX<27O(Cj^>!rsL4qoc&|61+b%Gxs(C5}YsTzdbNP9HGOOu^d6O58o6b#7p`Y zgv6hOX>CX|p4mwu68u5BaYNEP7TvKW50nbCj8lrwDp5hSk zeTbCOtH-;T)L292za$z5EVlxm#s=f04jp1ix$q%(U~nbt6tnTFz5nX`gvZo0p4u6t z@b>kn{^-W+lIyfYjt855IV&m!GQzI0o(lDnkezzsfQVgRWx||@-N$H$k1TSp#q*;R zmL(b1W#@IClO$z7!j)b2UnE1B75feMyo}@h-UT}BEdd}A7}$~ebSPFEb17Zfbr~;d zj`1emHDJSU+ors<5OgkjQq1^9%FrkMNOpefrq zmBrerT%8npmE|UK+SSpCTZ@kZty*XNnSJd#oF?CRns3L#hM_^+Swg%Y0?}TGhNpT1 zHUURLw6QU^OB|M?mc?bGDexG9kPlpj>B`xUmVWy|xhxN`qA88Y_KPMmDkGKgl;}a8 zRr|4av73IBU80uh{5QmZd)y^&VnE8o&?7{L2Ma7ZawMLCf#3m?Soe?$YnS?5<-2av zt^`|3w%X`m(e43{@sX)s^AtwM{%(;;<8Vjc$%Ac&hPz zF@XU)tR;`mtfe-0M_Y8v9^6r{OjVn!kTv1#OiLL-ZdqqCFv~AMWuxp~7w%vHCv3KV zQr#-*Ja~YqmU@@c9c}r}eem^A;O)almFD{1cz|BY1dt?J4^!>)MqU3q{U9S{_fcxN zv8Jb6=F;%%aYJem$8U=vnfv@+s5n3*M(j>^UHz3o3EtHsNoyH{zDjy3+-s7$&5mKV zN#w;$oW_pz%e{y1ihIi^0LEM5O_r$+eiVS!J417#1BV*m&T2pDb{ zJG#*AOHRGb_s7(naO(-@E_i75`iBvUW+KI19L@R&_neBG1K82Oqf7;qJzY&Y$|OaK zto^O({6$5X3H$vZQzIk`>jsDP|2j`gO6SpuCkTPP>2f(b?qyF)-4X7nUu3u3xzrGq zfFJ}k(JzCp%^P}~c4hI5!}5_ESpE*#mgK$b{Vu)$k9BaAk)RUHm_V0_nW#}P8^YGz_Kmj9SZmTSGerJ2HS z;6K)#A#)&;!-lsS=`5VzL8OM`P;-u)F!y24OEa zphBY6dVv&>!#nYK@K4w)NVBSsnwqJRFz(N6G25P6xX`-EGvKG-PVP}AE0{Xei-@qv zP%awky%8NuLNG|>|3x+Y$C_oqyxuyO#0SGeFWv}>cBtt?7du2xdG|z4Z@?6cuiILw zQZe?d`hrtw9?ir7Sgj-+V(PP>63XIL&r3)zJQQFl_b}^^M zc7}Bx92e|J-t=I8NiIlp_qBi{S-2f4Wy%h$spYGi0yV~Iqyw6LqD;~ znqZwS>g>KfWII+*ak{MgL}zlCIoE{Zpm|B;yGU85V8vGH~J&rkPp8Xk1mFZAo%Xw+Hwx`JyG#jEMO!7Sj4CJfkYXf1V9)@}FY zgr?qpw$Kjw#k916xsbhunqETb#}WTDh``;2oUU1_;kbu%@`Iz!wW6knmL^LKRm=rJ z-~rzJ(hr<19KJpYd(eeTzn(l2PHqkdXCq#A*-jy_GgESo1}{*nJvXlCD!vApAq58(yWt}Q_Q!tY5G?rDE^kWzpXGt zzUurvH@lqKk2^~PqNz@&e?yZv=0z;kQx+pz#t%=|E69*XJ&mC3s8qwa{CX_9O_+q& zk=T(~BqbB1WsvpjO>AkoXK~EPUzQ{GiLm08-N^my(S7dZr*=#VRSGL5cHXvaj;E?$ zfQI%MuR5KEv$*x|(p5wT(|*TzQ5R=v!p($>vUT`2jG~0XW)AYpAY5E4j}x>fWU$yJI`?m0XZr zsp;Rj&WJst;(2VY0@v27B1S$`&45Txhjm??;C-r*ZRT<9m#A|M!Umo_4@W8zaT>Z% zbidz+j$G>-{Th^1qugLrsLCk6b6kQY&YQXJmR$) z$yvrLR(YXnD3HLxFSn4>u-If18yI_l1^+F`d;R&gZ_Ftv3lVIHx zHefVw#+9DmsX{28e>G{nCHJ4RZyjxSh zpFdTNRqkIE(a)GZHo`AL+5K3J6}wKw|CHi*=S(EhEDhoWBmWs3PHNS`LpPPXew+Ru zQ_j}P`0mkp;bnedu@^ztsC}6cDb z0Vjddk-&e|y(RZu^IB;Vf3CYpf3G1%Nc3L@9LgPx;%*m2zf z|A6X0cN)_ zT^E`16EVYfD*5AmmL)!u5$5xmtp5Q?NWBP&NYv*CSuQ=rKK-J6p-z}{YyCSDT-rAH z{x8jg&(qM*SioWIN9Qm1Z++*jpu6lOw9?z0>+79guOR(zMETGAAQQTfvpf(E-`Aj1 zlAh?vGI4H7@3F^daz|4G~aUa$_*GT@J9z16Fi!Yn2sE1pFD)_$Up(G~({iyIu@`=?iG za;QVAgx2nf1ph_xd@`*CqI@KoF!JbGO8Zq7i$F;7EAKlu#U#oMeb2J*bxs?Fs?zKDbJD@QD-oMa6xbAY-SphY{h8f9O?eVc30f?iw~iTbY(JQD zpTSUSzk*-V!)GjeW2|F^gaiZ>HucE|bdKL{UtAnsc2%|dDiZSbWN?+0Rvm3>uk}vf z%bULzI7bc+u2wRlu|mi6`($xw0)_~`@bvA)=jD~ZAdrqcNe>hwSTCNdG#Ql8+I3}{ zM}L|&A71Ek!F^)o?HG)jzQ!YBrCg;UngkD0tGl}^Jr4~%_Fz9R-`)tHlFBullamZu zb9M8T3iX&7gI%~5wy`?iw{TzIHoQk|g9B%7g}xnF#WjZBPLZP3ln^Lu(s-|HzS4lT!o#$xc+@C$A3 z6QSV$JUlzLT+x%l(|BE4vAXtwFK2I$#n^49yO){KniJkLJ84zz1-V8%@_$YJ2l-+! z={xX38aHDwZ1i}ciU&kGEGr{kXz&o92J1c?TCjI38XG`^WyK3|p|QGoEksN@-G90s zly(?{e>S}t2C)dloW^{=*!fNM*Wg8F`>@o#9@Mby7<|OtRIL7GRy5YHT~%L%?H>cz zZNdg!*_f@=OusK%Vbj1i$`*U$BpJ(2X728WVe{G_>>dwiyS^VVEq*ea!&uDo(WQNc zQN^Aw+e2^HU@>+7E#ajJIlWbi1fsWLvnRw#Aq<`+_W@B$1cT@CH6?1j+BRFnyw`(i zVQM~@z3frY1yw)<4sEgx%X-)hewQ6%$2Om3xy=bRmBf+GdkZE?2gk1N9=yG${&g~+ z<5=C!y55=VU(DOuL5TmV42x9EOouCL5ktH6hrD}=l;GVf!u4m9D{GloAJ68P2OK}2 z+PC&Gd^V?6P%|fxewE(MQIv)G_#F`5URD3{ZZx*iX%nLAgE;h0TD*{GhmAhkWDAy6 zNCt;~yag&ZjUegO5|7>;C&fExi~`T!J^97?!Wqrfrrwh8)pCJi37$HNt50bWw4kvs z{y@l|S-+3_#Wr|)@2X--wny?$7s2S+935wAqrf(g_xERWp5$`U~x7tHV#8W9zRZp+_(-ImFClFS!&#(-&-sY}QsHSEY8 z7Hm^f)3fO4NhxKwrS4VN%1AE2;f627MtmxWazN{PljU5c6!9XIN;3mA#A_vx{zSI84?Ml%;0et%= z%_l^1ehw15+U z%YutB>C!QB3O@v+pFP5SvzB@m9zH5{;TU?ECx<@dVc_Aee0JJj)a^*v>g6-T2L@Iqc&PCq8vwM;%RbzR6~-&7p&yf4a! zXuT?FTao&8vZTPaqWi=tUf_9QreA9-i&LwH!eja5hHG8l*+$~J-TLD7S8~rdqeW!4p|QQpz4QGQA>SU~ZOfs# zB3*xxqCa@xQTrW1evjHDjt;uy7B)PuCPp8s^tV6NQ z$yEBIH(Gz4KJat*zN&d1^pqYvN(Fd-5 z>+ADvyjgQ6fhKBaWgeO>wyGz0qjgv5Me5iZJpq%WG-un?2~# zD_=XPl;lMp%|mzDDqyPoH-Rp!C_#6Bc*dcax}3aZ@25TYO&c$;7jp*jG`PI{gs|58 zostaj=QkHGX=d-l9&s$!zOxoPs{vtrXx_!A%ah96cs;X8@v~#Z9(@Bt!>#abD_3}1 z8X7(+`pRv&t@#4m;VaHLll)8T#2Z{d?Y>{GwbCCRO*Fj8 z+3=>*_Dx2{_c7+uw{Q2F>6bY~B(tj~^$AW?zy5K+`euK$bpMdH**(KY-}fVHWTRul zR!hB1AO5)H{5a~n_y7xku}PWzBjIJ26ZbE-6xS^BOFk@T%a?dbCA>U8_i|A-rNdaK zTFg1Hw8NOSY+m(`Ytpe|8TPo!rn2l6Zvzs_J*OH@^;h}YzIUAEM6%KL`T6++Nrp2w zlmeJ6(AZbJz-VQWtf#9&E?o`I__GjptTOG*Pi2O`()|Vtu5j4A%6feXuAIX7TH_`oj!A&2EpEzZAlbJo##x z=I7**rr?>>@hF(?7)uxm0_+|PUPI_CdSAs=_Qza%mrFgS=2q}WB2G<599O&`htJ*g zxtlv!ZS@ykfbJ4AVn9E3tkm~=dq4H@2Z9WTj=j1(ll{5-{Y#pVcPH0Au70fubMi?G zO*Oi?wOHwKSt>W(LxF(J8xtLe_-C1}b;BxX(3ldDK9rcs$-;bY;jq=bE>7!X-;QG} zWv?LB)4G_53C8~%Rex;tOo>ZCVckr4jA5B+hsm@^%?I-(~S4i=5phKoN!fP4J+&2;p@AfOh8Js{Az z^#lhQmxv=`)GF^2!7^L)F%V_HJzP)VU=$W_HlRviSGdbh?J=+g$9j721u(%phuIGQ z+iVcM;4TeKd_Sl`K%QbJq>hK6?k zDn3J(en^P-x$&&P-Zj<>1?HP&?o{_=7gXHZlYy@55P!JyJ+npAtCg}Y(TA0r{tiul z%|w`U4v&xi?jOYZbl2g5Y@747d{5-^w>=crWutbewQny@>$+&8#&My3pD$N32L}IZ zPxeO!rntV+62H5@Ci#zPK@z^sgCyxEoLKvkU_semB0Nu9=_RdN($q}GerDb}bX|0d zW`viVMNX}v+6!mRo28VR+*=C^!a28!x1?BweNJAjxFwx|W;6K05^6+eW68(j8BHfd zyI=}IU6!A~i``x#q2Bn4@nk1P?BspNQ<+!ICj(U|G?TBd6Os-+SkBhBI(l*MF_8+H z1B_XFFCV))z4O=|zbB$2T(a}$1;47?313o^kS6%B_Ayi8q+ny58KY(@=cix2j()|STLiZv zQCdxd^h^EmNlsGfnDwsR6YO)Dnm(?AD-*0&OD)Y++ zZrA!Gis$e$zbc}iOb$4edd!jYON8m8FJfgqTOx~?zl2`D7llhCym_-lTU%Q-mh@?M zu)YVLF@o>kL>_%^Xl&f#@9#e~(j?|YS(O{QD_?iv&f$5%=~;Cas|^dX9*(u1CTP5U zqIGi5x_+56VqZ-V?+Z_tNvQhSTuK#Cv+xp-jinQ$iN+A07-VS+ah>D9M*dV?b!5EF zo3-cavCQK&Y#MTMa?irTXeG>xyqcShe6q5RI#DS~1)fws_$H~d^+r6SI#p#N_Y6+cJKd0`_C;TKWgYLG}CjI>ww+polhFt#Jv~_d{ zIXN%i_Z7O#GjtZY+Za{3PXF54*wl2}CnIN0XsYyrg}ggl|6B zeH*@=Vhe;@=^Ej89%3RMMRY>a8^J_b|L({nVokhhs(KCaM~2OZaqio@Acgg<9?=s_ ze*1RY%*>2{j0`6tZiY6WY3-5ZzRawwlA16HxhwkT%;dhx-F>o@E9BRRSoq=zbS=Hk zRR%*fg;&c%qqY_=VuC2{>g6(B$2%=vgkQ@%S6Nl{puPQq)BFg#upfM-sa!t`C%>}W z{eS=__|`7?YDA8@38MMIeijwGEr?UDr|FL~$D?mU{Fc`>aMF8=d)X)NZ zta!Ti{_dmlM9+OQvDI~0nfhmTWaL7T_^fQZF}O*)KuXN?Sk(wsR&kgOfSp-o1r3E6_)+>N#I_xz}M zT8J$4=`2s&r5O)ay_!zd$(9n?9iG(j2X8QUkG?^AQeIyLsHhc(H*DulX*T=%K zd!&L@`^>I}JBD}y>ie~WzwaPFjGk7CIOyh_dT`cmSz-vWx{d$?z$d_l<(l-&o+oNy z1HwqzZ_w;pD0D|U`{DKuw_0zXMCl)|^FI`-NV%@KghhNxL;S)QCT0TgIbZkO!KG^> zF8z@VE+>ClHwp0dktSzwthP$m_4F96t*zffw?nBn(a~c^!G}}(*DCC9)9^GCU$ov}toFCNM?FGRGJ2x^uCb7p zbBu-9tzGGrF<)ZHTfaO>CM0K0iZ|0CWVXpv`YCAV& zCpT=d1!@qo|1RF6jJ~8Auz6gg`6r8{8py#aPLs>MX?E3Fo2=h`{Bocb(vWXTw!8(n z$5=f==4WSV??0#1Yqh4WJHp+d-gTc6g;BJz;f3Dl7lnln3lj&yt;(dwGV~5KU*Onh z=2&WdQfmFh{|=1{!x?^&BlMO*H((p}l>}<`(9#v~HRGDoEX4}w8@CKQRE071R#e1~%LHyIOneLn`XK|~`|4aH zSdPYnz2z_3sofs(u)idNeHI2>o_^DM+5`uf5)QDER+f+q4pyMCN!LP;xG#0Z{Hcaj z9f!CosiBS0_HVLT`l@*SbLUQpNk|-rmj@>0N}@AY>aSlOh@+e9$t?FfrqmAxq88Cx~IN4Gir9QNF|IDq-d5y7GKUYOv-7EXEUe9TX z%N5g$gclN*pP;QAgpvCyqRE=#99Be0Ao%!UK)lc6jS?ky$DGmb)l={tC9dpfe^gw z_3MNJNfbC7E}2R)haTe=zP>nEVyJNZwDu|b13B8ku(3bGdg>~lu4R&fYoT?wC0rJl z{D<@MV(}r#7Zp$B-IP7bzGOu8{iFva_5# z`7|$&9MA;Q{P(e_6KA|+ql-_xu;}Le!Np01iJ^+u$ z3E*HdlX!BtSN7_#K&1V=0`9m~aDdAPBP7k!$arJ)hwb*pQi0o>bYAc8RlIr7pd)4C#v>vmW3N_vLVqi7%N-!PNW+_8F|_Eg?P64Uc9bd z_5SjXsiU;{-S4v!dgZMr0y$T@dY)$$7mo>X=j7yE1Rde_?ykfa--B<%*8=fq^x%Ai z`SXzkfEdy3Z|giw?*HD%%Q5Y0kG)?YLt0P&fHXe6#eT_Z! zYWwZK7u3Wf5EFSKr+&KdckH7+Ol}*LbBkBL`ccaT73#0O`?G`k{5du1No(AxMwn}A zv9mS<)~11J2IofOzkdBH?7^H=;Qqx>h0I5DxU5Y4TAof;pZ$}MYcT{=uIJo%dlJ%7 zb*5iCs)?u&s!Ed$LoxH5nVF{is_b;dJaeSU-Rj(*S`$es<>yob9$7}6tT3V?rD3-w z=TeR2`pw>SwsHPSLfCdi$LKY)ExQM!lnCpVe^T??)5iKE3c5IsUOKH(6^vbr3lCyLCl$S90BjJNwP;={QM%wn?5Mli+Nw zndvsZJs7X?exOee&pz*)nD+|zHs++zc|ur1Pfw}n=;({Ek}#_zu>M9sLIRy0LDas~ zJ!z;iO;XNN#pFQfg=268GV5)X1f_OryRDDE$lu*2%bO-o(UiPK8} z=t+m&;(^I-?(POXthFdpCqS4uDk>^PbMsS>&7aqPeeaWOEaYL+A@Q+XRzciXm7F?_ zE*&+5Q)kpYvm|-_T>5Mmhvrs>#pqT+e*O&@EzqQb)qm{$c>VY-`BlfqvNsl^@fjKH zdMC*T|X*VxxXL8^66pd%}s9q@QKpogaMdH@(1<$&mZOkZJDF)T2EA!U3 z&4{<>7io-(d9HRiLsn z;}<9Bnlcs3*yU|KmsK$29UR&?JOHfnqr=@%-c2Ru#nekaVU>g%&JTV$bG*lN>{I~z zWWQSRFDFux!9|mwr|%KMOmUyH6((Ynw_0^1PdO%Pr^$2ek|j)2BRS{v<)Rh}3SWv= zR@}bKtf5JAc8HahmYkWGm;#6ml~|a9OsSI^!vg5ab|=`$RD#@#wrzQp@R$+$@5Dg{tO4j)(E_9Otvtd2y9t>X#-MD-wgN zGdP!y)P9`?W+&Wy`FeLr#am%vcrqT^>Wxk;+0cT}kCDr15)q&AZEkuASM*8C8vwP1 zRf)%bN8{(XSro$H6Yd0=Vb+Yymr5PwYloYL@7(zJNZ=~Wa+hU#PWH+LQ6ItFrx!OW z(pxb(!jHj1(kprP?6+d>D=h=e7$re(pj#4-k&6Y!-|;I_F4+Wx8;?41NZrT&g{qooUMCzBCJ*>?@7_XANlDA7VBtY}>VstJ(&_*WZ zmCX^(yNPbC+?qV$q2GdK!PS+?6Ck3?kP^eBcWko9hVNb8f2)8e}1ZWoc6UZR`RA1Iy?!xgUC#81o{{KbtbEcBAov-Wz%q$h)0{(Z)d5m(h{2SRKyZ`2Q-JvLa2MZf`7s24hLOM??#ci9280HVOL;2jI;v<6F!~4Z~ zjEM)`rv^w2P6Fe7$i;H@VR@`C^dTH6cWiN*Lj4&rNjA~UtByM zvfykFvE!r4B^Qf^6ydVA%EUK6w-v_*8xf*~=TXM}s&70lBtw2{Wv0P}>73w&-rhc; zciPfL6?^q64J9>oLt7gecG~#vg<{PYkPr zM&-ZfM9h}W@ zzRyEu^U3h@Q1OX-xBQFzJKgBXi>9Ccw{UB}r)rq3&7CN~X1_OXg3XNBa9sQ^by3Vc z+;HoQ9mVyHkDkHxH(Zse=<)U~`_?NXjHG5Kx!!xuh^!b_1)rDDUk-!Xg~e=ld6Pf& zNYbrV8YN374(79sZ<}goD6OupvaqZ`u)fc0}z zZjhL!(ckqDhT}#j9097EzhnoG3@+D6uK8r|JDNy~(9G>^FGC=mi*Bl$zc*zzew+a} z)-c1hfMtK1!gW5PbORW`*vLS=$MX@rdHpTnmBXzs~ao-ubmPyTMaDB%bDZ1ontYq^UN%j0%E1`sGBi<R& zQwtUsx|LrKl?xQ6%zB4pWqrN;*tqw202fcnVSW6ND&tY1yMKH#d%)gM|H_rvA1Vnq zc}rgT?46NMD_cc%8oa$ymR3F9&6GBtRwRK7(Oa7?-JrzKHH7vo9eb_6_WIa3C&-hO zj?&}R=u#=z6`$5f^OToHyJwD<+r<^C^uet!hRZId_xM&9lWHqd(RYXt-C07z>1mnm zVg5*almgq#{r^!=fH#D)wIY7r)t&0DGL*oPYh2niP%zf<<C1GamByrSJB1g46<%h zM`6zjA{wzAmA=O(udI-~>S{exrqnxH?P>D~Si8)Kk1+@7myil3@*3^rnY}vU#FFD@ zcfHJMkcH94gSO^v){NZ_FGJz(AK=0xqhO-rm2y*kPty)=zpgt(#UMJYuD0;yL6}%st@A-*a19j$Ixv7-{7?sv z?V6eT!1du*y2a=+;A|pK2K6LGt?I~pM^mez6r}|pd_?!D+_=6EW2%=fy}u!9(8c$6 z6B(d(;ASN?H8M1cLJ{BA*hnZRFAugZ1Ie12S|nGf_LsHt@vB(px;9#n=Q}7e^4q~vuvu~fps<A2|HiMy&34tTf~jfRpWc*qJ;Eo5P+s9qjDiENQO^#&+mlS*3nbc=5dp+SP) zFg6s)MA;KTfP{L4+4EFQYfo8Ox&8d_!l!q4^cVN_X-zrc+YE^MV8J6E1s@tTKoiwh z*7o)5Nxmyj>`85ecgi`OVp2h#X`QcWoE>9@h!No;tkq`Io`lUUkB{WzQ zf;h5a%BQ0lRa-b?{5rM2N+FMzBg%+rA&9xk*vENmZMq#?_mHv?BCtcM17kvocg~DE zm2SdB#3uqcvl=f8u0prcwNApx%uH@qkYk?tyV8kh3K|+xxa%lc)Z%DV&xPIS1QqzG zL-iyNCP9hcX)qxrjSEsdV39oEA}g&6yC>poOhF{q+eAB2rW^M5ZCdn^7W)~rf#^k z$9a(l+jDbjCRmhoK9c`n63T&Fxp)75*U{k+^5YZZ2m4aTd-*P>BFu|C25Klu zKk-t#{bVouBi%A3Z5x|sx`rD|qq6FP-jU34k^UaBE&VEh>f(l?;aAbP7SO=bdR`Pc ze2=`eo)z1Ke$t+l83G)h9!yS)bGX?VrD!Wg?G(nd>P;0Wpv`mUis=A?Eg{8956cmDvk^Z*Y6F7VuHc1ZoZbUZH z#1{YHP?_72YdNh?LNu?{CHkb@X)t65&l=Szv1u^8KK*7 zc$wJPY{VdiAPFXbOOp=0IUBr;K61dOxo{{Ai*+0j^wct@>4vv8u3inFn79!Rn!co( z{9&I%`fZMv7~CMMVLQ~z@NGkY`9=t;z=MF}f784+oAAE({EKI9jGgwvJb#lHLc2i^PCOxI!J{JWHXRhqk`0ZWjR#;DvC0Ak}GH9`{gEgKhdGlzLwY@kQPE< zL6iVckqCj}JR?x6e`^wBPO7@+WFoLh#}Blg1j12~30|@30sI2jcY<@rtLfd2m$l` zFXyQ6$byDK+eFho{fnm89d((8G!#P_8Bf(|?%lo3_&0E+%-9462ZOJrfm^i@-Vd%1 z5j}sN46v@A4gFsq#DKY%*rvk>=9(q~%_sz9@IWvyhC<88H(8%)^bER!r4*1UQa%PF zfl5w~x8&tZIzU yDU}ZnrthgSPD^DUp}BOAw;7Ce+S@Y+SW^hT3^{|j_ZdTGhFiR=4qVz&az9KaD_B3<8wo5GUeplPbctqeVV;L z4s$o`v{N*OZd4b=#DrEu37fxrqKh23wxm@)oIzbSsdLcaQWPX6jB;;UN|RszVf9+B zJqn8HAi_b430p-5>xToSUf1w}mA*5jf9_GQ-c2}GjK-0Wk{U|TQ;`s^k8gCUXf_rf zMsZMA9XjU3RW6)8X!+_tcRjbzUcp8+*so^TSME=6>fCH{XO-M&JzC8ZzssgIs{2aOCY*$HBI+ zr~je0smrLBB4$wkb-YRsyLsxxbaOC>{uCinq@TF+O-b*WH^6O@E91h!{mx&ct;zLK z7}(4RAc4=ebf*UfwgLF%dwbh-@S4brB_f{96CA!bXt|+sLk(3JPy;A~2=W^G(}cZ- zh<%08i-MD1Z=O3_-83mCh-a0!{S{Ff2p^~??hXz{Jr3sL8JgX)jYCfg+9Do=M-?J{ zF>)}X?Xc4V+p4*Z!{u8{(U}r{BjYbV-Nbx0jcWZ84DSqVk|Mz^YwHkCV<@5h^V=7( zDjC0j`^;uMA6!f^ah2pf0lm?!a&|(v=(wFfTjOl0m}#86X3u<3KfH?scf$Sw;LFL6 zKw_35%q|kKV7b;%kM+fMr9m0mA!t=j5iRHqJffbUx7%iqS?r4vHT| zv9h*C-vswq!%H7RFvv%q=jY>e+htP|k5SARTy%7Bm@JxR);};o@@F`xr9d5%v2lT> z4}vyet4cyNuFXo|e)QuEat2JSfI&O6uL_+!cOObD%>mf~$Ug4_AIJd>V|-ULgd)@* z@YQcDeEJ7Y;VWAWP|zBX$crmY+#>f4GyIgUoSRewoJ#$FAu%QoO@F<8eQgaG@91Oj z8+POU;hpklie5bOzBl&G_}ZTzXV*t+8s8+(QJeWU$z`mShHXp#W6~*UXn_6*fJ_oD zN3s)FL+T@xl<&br=s@zOgfx8T|bDX~%bR>#3X27;*~vVP*W_&v;>L+(`b7Snq2^r~Rm}>^yN| zwY_!uXdm!Q20~_sF^)n6+jKh09>$)MB|uF{1tdD`y%rOLg$@H#yq`5^rKeh@GJDFs zq6;je(BehYDIO?THzbq!FB?Z*7f(3+>*rT*8#=a+uqa4j2zfM7#}BT_yNy1`zuV4# zu8>9Hf=geVCmUnykh`!IzF9goAqM}AaHid0U`kW!hh?nc?mEHjYDv&*S_P>*gqJU; z-~Ii&up>99hca= ziITegpt}9v$8g`r%QAdFjeU@lTAXBBrUQp83n$#gurBvEJVJW?BS5 z((zZS&}9mV7bEOU3$iCgJwx5N-n)1nU0r{0)YuNyXNozc;1>d5fpMcu!tw55rppxy zxb5dps^ffGH=xls6MotH*kLCHS7YbT=LS->ydMZ}w67g8c(pA%Ff}e@*db@Pw;kki z;v`#n2<*L&r!LYZYVGaiyl2F!EZYx2np3rI2>jsn_VQN}KnVlv2i${XBm;$pD?R@E zpD3pS&fWY7Av59!a~zVA%4i+z9Mu}VN5V&XDipYv&J{C%B5L!RW1xndhzzq_I+bgm zCxg}>RB}*caJtgwF)Xbnfb(dfJz!T4tgX%%qB#5-keXN0!+w~gz_;x_{s1zc$k45^ zfO7O7|DVmHS8N7jm`-DI*7WfJm`KYSzTk^4&~z)N^TWQE3@ zqj5=38I^}WpS8F><17LePg730@;n$|aRgTW(Z)T|tVmK!f*dp&$szfAz BKJH zHMaQ0THwb8R-^V$-@kv;@k!yC3njQL_Zkt{jsd?3>nD1kAS%l7;=knT&`+14Fi-PD zXP%?Sez#S`asjN8e$2q|(=&E^D#*z5fZ^8VY?yT$)Jh zO)=j7k{SijR8;JWq(UT;aan}4-u!GYWcG0;(BW~t-WRGM>*F#6choP-hhC1XqLx>n zw4Z!wm%RD@{gLanNNpZa#q0q<8gS!NqK*(J8&lCnr8fw`K*?gRlPZ5P@@1sOsa-aF zKI%Q~ws3j8gamYf*27vLB8qeFFJHfT6Kqy8w>MmYB2M#j?|kpr$vOF#ac=;V6+}hs z`&+q6ii>ka#Uk`Z>)VaGaYZ>71Iu5(uT3f(TRQp}4aIgX8}Ml=R}S+^Ov2SRcSX*h zdqqxB_~&omvTXPuGL)6DG9Z=UeycDru7O#io<8Z*b{aO1Sf*aYns`inyhetrJvVTz zTRbt5_?ff_QZW=}`XvvpF!a?k&Bx-1fD2Oa2k14RMzu`XoX`5+t1=>QW^K%e>-ji2 zISC$#m{PzMfru1muxt)dhS}RsnBY7lE50nC0G%_#sDGOx9a`qjdoHmS8oWFT*N|gK%aPZ0a(ac%DxQ&a zD8(lD#}B73m9E^}5v-gzd$?WsyiH&1F=>zVGqbd-z4-aQY*o;xOn_cS;5ECH$ zy}i8~a9!d`m(+N&>0)KFtf$DL0dSx#& zm!F>>skY7@wSmaVc(f(#TTHS2d&{X)XZnoo{S6kf$9n2kj%x~L(~$58Agi9dTa36 zcQDj=`|ppK#`fr#SRD1TaIvwkjj)~@wQZ7dI`-(a9`9c*jfFo-t-X&xo81wJmjdTq zdRv8z_Sn|Hj4&)l`YSxMY|eZ6V|9Dg3Y0L{Oiif}iGE)nXBFDP+1d8~@|lq{oQPbE z<{z5`9fZ(1h;U>C5|L${AzeWDe%oEHo1?UkrNy|Nm-yPC_dMA2vD2yBe{_3o3#TKk z`xktz5_4-<;f-GOJ3J?nG(tg#so3~I;pAyVp|$y6>XsmwgGqoGxq``>iIK3+c;VRj z2pQ$lbQAxgpx}cRBM=6WG(VPw3~9X&TaC9DOX{+wZXR}Wjg2e!mv01bl#2H&c zyLGbFz%scN`+_2RMHA-y;pnnKM@{cRW=R* zoYHk@ZRM_Eo;GnHS!7?C@8c$;pqNbW<7}31haPzk5ykAMb^9fT{XzSGX4k#(ajwK` z9r*!xbX!~75%_3i(cpr5Lz0^=E^zU=-ZtbmhU4p?VWv9}q8$XD_-`t66Hw8bb&R^8 zvSQI$b7$L|d;utY1S5&>c0VTfb_5@63DOIqH2R5+6~=N<#h3pTv-XqJl3pUpbtb zoMl#^zqZ}WmK}q=UGnAKOfR(Ob`JXOtns2tR%kl(9Ni18f~=N~*V)PhU$0|03T&Ez zK^u#`FvfE|oaR*Wj!KJZ*Rv#t6)Nrn!~e4x69KSo@M)n+8{3|3nEXoJ!%-NN+fp5h zY`%Y=blx3v73(SPsaFtB-}$ZEV-|IRZ!_W1c1)Auh_6ojtGxmp0k{G)(XBP%Z{Nb% zlTPpc=}rH=3;*_ud1pN6^2icZpaKRoRk_gG<(yk=-9Upv3*^h3o<7w6vrR||3#|q+ z+~cTE_%PoCx_qSClLopjcoqfl5^V+gB?jY*cRiyb{i!2--}B-QlP{n=0+gNt3j15F z;KxBWse6q#PTX+K9jeEQ1eYlg85A*yg9^8<7xxWqO6S-<7B%06Ll<;3Rk&Y4e`0>~ zXm{X%HuPGuSQqsTG>j>V&=5JYvq0kgoez(uco(NlcR)$I z_5T02ywMhk*GcBdN^5fqaCdeVSzb}g!$4K5+K|^}s^qn2@)s_gQ{H~n(GOQfK-7P+ zpuYjI4(I99;d#^2Zwn%z=fm$$>Sk?=@J%{93jy40Pv)7*#I2df=0T9OK(zlAPmfWW z<@P&8Z*}gy(F>kLBjl#f(y_GE{5L!0X#SEA$oQcsL0Y6I_+lFtsF^ieuVB=6AqCi9 z=!miVqXoSZ7p<(a8!7amO`v=4p5t*sL#imXyqbAT>A4KL6KJhC@X;wNl z;%lbI4eE$)QQr%B7fBNqtd2^H*Cwv-1k6(wEVt?)8P%~w4Dj_UkT(LLwT9AW0z4+P z8;}FvpQf|+YkB<4p{{5CJG0}3i|R35nV~Py4h|0V!ce;QUzcuxfdP}q=RsVRl z{O#MfGCGW@si|$6Q|IfKU;7or+2CMFsJTZAD%#NjgMl>2Ja|CpGXAc@n9&`&V-njW z9rK|(#%YRAAYxDYb~fsgs;Y&X8_H5*=x(bB!!=PE8H%1XS;Zok(7CR3?C~2U` z^QP}t@1hn-3=Is>0$J+(xK0w|#qUn+LdmJ7M^Tu_{OP2J`r@q+D&83SO1@T3d`)jxS;VZ^m*8UcX1n%I$waCmj zXDem&LOhCB=u*tuN3NSDX>DrSFU^PI-%72#4*s*nER1`7njux5kv6?Pf@^9n=4o^M zmo4kR>G+#BQd-HPfz5WA_gmBu8hZqxv9&=e0Pb~Y2=1=5=0+4RS?}IuZ0-*<+tJsx zS00;NVw8~0vazvIgpP>g-Owa<%Ph=xWa$>m`-)+sC&wllh+5}FljJ^$cIf7vWMc~r zo4pd%Bb$?Gr-*FXM!3)A#>X6WTPA%3OiU4g9_4rOdXNgXs82rY#S1kZ64x+L72IeX z8||TW-D7%8Fg$E@iE(CbP8q(i#%nc*?gBKb^$rc)6npIE;i06eN_suZ2g=Vwd;CzF zxydRdoxS|j#DJbjsY>VK5RXjDv143I@8{>yCIVT>-CQF4)_VrlKD^Pfu}ZLV&~-`& zGb|F~X)zMNo|947H#77XrcDDu3~~Z3&!?q!@jP6y&{tib-Ri*i#Kz7}`N|dQ8oxio zvjE|%x1g7;OKEuZb9htFSC#<*Z>HYucAdJA3_n8ad~+qwy1-3V{Ug~xwd5!*98G1w zPA@JxGWPOmB_BC}!HX&X!vk<~LO)2$noBN-m)&X9G&LU};)*|ouTr`Egx#g6%|2M& zrvZ+WLs0M-H11760U}xyKgSPAjWU$)xx?(?w%Cg$gK5I2bI=rbH1cnBpZ}r~{O*)( zzB$D^g;aXjAwz+?ud`d9-BokS4O^fF>2$K3lET#}e6)i+55qi1#Rjw6*2#$~_4k;aPjg5rC@G;XAMD^cusP2zj0zz_;MK`yMBYUp{00y4ttFjI=Kd)- z)wAno(CC2ZXiB8l@^}qwks`e{80&FEuU&$TRUL~OY2zn_#@dL?^0UzKKA&|%uvi4g zs4^0`nf5CfC)Wu`dyWrV={BRJB4#ycS*-Qjs<5}O zW4AXyvkkdkIGMpTLubUqfI?k#brt(OI9Q$&F9z)S&-p>AAHETDFCS1w3IY2q7i2bgeGlm8m{6TUtj7vrNF>#j(pDtu^a0 zY|n0{9hFznUo(4mubufbMMu8HSi;w)9$mDXLDqqJH2TgU?4{k;5G%UaYOfW!i0x`! z7W9U{7tRTX8l@eMN{|BS&F?#n>SL^Bf2M5)YB~7$E_omrHENUH@yC}P%9nA^PA@54 z!ty@uf1gFJ@rqk#JM_-ud-@VRrhL|;&rkljGbF5qB|H(s&3fuD>Knl zoHO%z%`r*6v2pVJT?!F-W!eu2;|XpG5#t5?nsMM=4DDbciHxebmuA6L^OvCA^XDE7dTFH^Dj1wdPn*e z%Lpz5=^s`n=(cf?_B!BX4+oeM5v8?5`k}P%Q%AuE0Lk#kp&F-C!&D!Rf0V zW+)44&M5OQF1IH%iVgYbK2#KnZ=VxS-`lqYI=k+_}CT?vkT!;Ao1|4o6 zYjTFe&&*Oy_iQnR%^$D{|bpxPIlAgSaO=Rj_658n(l!L{mDogNjh6H|?;HDkwl z{VrIFnU!4etnD=wHE1uVG;No?o&B)?=Xy=mgQ1K89iqO2tDX+(dhO*Lhc#Xjk~?Nw zC<>6ftQN{6BmQc|t7y z@^g$Q%Cy!;_Ypo~6dRHxCoQ_wD}3H#opbt;9{D3>_$C;j1MNyvQiUmD`E45-5n3fE z-bm^Zw9O;qlt~=Y9zgZ>VC?nShU?U8=i=C>5KVhWT>=1jEeLqCLVQ%qMkpX_xd0$u z5s`RXBsh;p(}uLJ;R_y>G?!7f4fOeE`oq9IB|;UAA%Bs9|sN{@=1D5edl}4i7FI z8EN-^aPS0>Wha4{2x${tEE20BxYdy=>hW0BA^!&^ff35)2KV-;(IvBrleLy=XI=zM zYMg!H_$uDmhG6GW@O5Y6Z7T|<*7PZM&@ydvB~M(P6={wPz$f*fCW3L z9lAvf`V1pB>@*PF!lAZ}2S#*AGROrfc@rAhS}+S9`8if=tcPSx<%H8e=_gOP5j_o; zkj)3MuJh5*Aa!?252=wwoV*A)m)XAdwkm4zLMF8Toy1xj73Mc64v<-}>@TV1N)3oU zlCumml=9q2?J^b0zq?Nl!dut~gNQqbIMfCjov1(A8*5hCOrZ#u!o(*51~I#j^ur#L znH=j43NM~c={`BxSefXV7Z5bD&1%b^Dx9wV>tYnw$4q|p!}OIOJ6*AxIKO235+lej z5wTEQa6()#!n~YzD>t0`Zf~Nhef>>e?WfJ&m%5jn5iuQ^t1zs?-BwsZ;`3{<>g-QPF9O&zk|RhyKN-z z+|D`57GiRSL3dk%5d-uxEjv3k&iArk^ynQ#gN?`=l+5R>tuqR`j> z(j^ihAl#t6(5;;rgQYDHIPF)YMBDU|sVDm0*hqUcy}kXCHO_xymOWu1sn9BBPUGX< zN1uP|%{n3=UOVtg@9qRW=3ZIEqyLu-E`8Op zXmuoQQ$LIkB()Tmj5qpC2?*t)R#;en3IhOT!}chx5^JTjo4jZEl(`+4p8tPVOMy59 z#D;XN*(N|!e=0B>`o-r#O6e(a{hHCwk;^2sM6T3SUFm>$K6FsRsYjgB0vZ0#$8r^G zj71tZH@WbU1)XP{(#U{p7!qMHNW<4y_DhU?aVg#Y_=8%d_TuTh&7#h8_00V~ip#;8 zsMU6^sl(xtavY?HN~(VP6t{PI#M;Q^a@N~ZEmWYpNy&j`Bmd9ezfXL%sw`wuG@~4;WBM_&?bsQsov#^6o=rTjmitMUQDQmj%+} zx~S{l5^`f_iiAZ&2>A-l@% zuZ)UxooC36xx9ba5-bn?{`Rngf6i^ih<(}D@Wk%>ZzY;`q;ExShSRm|sAV*JE%_nW zUv!-+u~|5~JqFdfzY<6wtq%orEo))r95zo34UkbIMaFM51aOrC-l;}xowE0$w&%h) zBCMVgK3+)mzdpaY51hwS2-)8y%lMGNjcq!0D8}Bx2rhGT^X-HERhsROcUiW(Qwjab z>BNvfFG$!0zG*m-+qFV)^^A+byGharSx@R$DB^=ZbLLIUJxf71u&}jbHVD4vE{_c!&UGFm6nR>%`&K0+BYn zeed>3+oyR@9fRT$cY8E!T{9TA@OxWpGV??b$R|IZ=gCUQ&v1Py@{3MOVDz6BfMD5I z+Do|STZtn}uMp&ky^O4xgxT5ID{5O|o0Up8r6ZuAe{UVPtY(Hxm@?a26;!bPB@xkNCO0r-qK&|JjCpK` z8aA{1OA3ji@ad~r2EVws{dR+I2~i&%cefZY=ayuEv0u?rPnyl@dYH2)C@3a?obrf3 zJKVepU8PtQ@zqI63D2Y*jCjDYgc*Jm)#@1t@=r6~cG|FR#S^<64Q zy#3Nh6~e<=PBuj%P!kyrf8#qOO zPd@pz1OZ>MjQ!a-p`97Yhw^zZ(O#X^LEjN2aXv~sUDd5R!rzmNh%-`fmNs$OMzM*_ zRyswjWhkUzvCWN|D_uGIY4y|48XD;7pX*L9_1Sc|0=zNI^871iRYC2X7tJCc@>YdR z_oi%jpz5_3{kIvJSV&olHbs9S0@Rx~1A$#Mc3O3IcAhPo*0pz=@ZS?zJt-D+`x}lR z<{|7g1>%CwAMFtPlEwCj6-=k#@CXXm$jCz)=^%y8O|+9c@|7NR18>Itj)B-c5aIV* z4|>MFhE=$x`_|1Z?(EC;ynb-LMy-a7vzOzd0f$!f&k&i{!OD}vU3u-Ao@uFQ-UUXH zTWXg0UpHCoOJ4Kkp53_IkxNdZU3K<(gv^BVO0k*-_UUP^08P!*7bQ1`YZaa>nr!V% z6t;KTOP1S8W;3gAg^%~Ms7r9uJzCoNGx+v#e}}e#4$+$vsP{jKiLW`m45%$z<0D-P z#y!YNjoc^l z+pQiyxnG;^_<{~Y*%;9HEvsR{EuRr1bwF(%g8THRtTyVxs6V@(jBk<=Q@gkV&6z*j z0<6F9*|KbLsmV-RvROWITwr|?&|M#yW7w7+eEf&_j*f!M;<2)l=Sj*YO)3cwdFVS3 z5SMZhn?oyr{d_j(+rwpv&%xI>pV#fw|GJ@Q<<9oRgxt&;9Z1 zb*_lMY0E&{9JJ*_e>_5tp_|$*rF(r1-)nFpa|A#w2|G83wILA2hg%g(l-_;e1*t!keGP ztEngI>*_u;Leb@)`Xlr3{wsZLrnByT)PADu148Y-x16cpNqZ2pC`8Goan7DTaZEBB zLl>CidTGzBS%vO$qN+94&=A@?7;4hCx#hl&(+qqRf3YRGX5DADeBp&_e}l^#f4gn> zSqcpd-Hx%ni_7ywmG->XGT5fwuX1c~1zQQZapc=;yCjDs)eOe;No^PK$$lu9lnq5rC>7n?B%^^ z_xB#xvG~PQ1sGv@PDe%Xd{lRPIm>7O1OZ|p`#kNDcVOO1ES2Jh@^ z`$gh6em4Rq8V%$0lwezEXF)%5M+cX7j_YHE9B$n9;0D+E3#&(U?(MNY9P?+?h*cnX zlpPm1lT4$rbf3QC!tk*%i8B|Mqe|X4M`ku84M|tZ9New^rI;#puznCQROPsmo%xRB z%sV+MQ}a`*(jnHuMhy108a-jBOFGOx2rB%k`j%OnL59JC5xwNt1)vd~VJlo2`>^xW znD+^prMgp3D%^uIFwXP$<~bWn&YVzFMMT8Gqt4-9UFyCMz-xW4fL#)iS8Qc~Jxd(%dC z0f&caDPL-RrQ(d8t9X}Hu$ztMLAbY<8az7-+clX7mx!|HIgu$3xk+f53MeMPwO7MUBDOE2M=`GZ<@w$Q}vFmMvS9Ee0`T z%g%&Clr4lPC2M8NPNK4ltZ8|VYjoew{XFmQ{e0d(>h5zV<~pzQJeKcroaKrwT*UDo zJzE}}^rI7rl8a3^K6hhxO8WPt(c0U-xcsec8hCPI;VbMpRO0RH?EXQ@kGOb}s;m9* zk!F(BzoYzl{BHEYEI5b9vV5EzLZ3K1uw~~JuLK_u$;-{a7VygYWUz^$afP@=TyjKhwbhK@h`X3+>ED4qE{MffJIXJcVDSqryqT4?L7) zw0^!hjwQLUqwt?UCbZNBP$_J~579nl>--Io$4p|dEwssy<24q-{9nJ+e2 z7cg(lc4a?dz~(;=l;0O4C$#On29w^&$(ZWqvwX<6aLT|oYrkS1mn+8GNewr|FFwkP=ft<&X8L_&oE=Nb ziuyKRq8_b^`S3Eq&vtEIP52D4Yj9AbERlHSig0W~!nXRu5X3sc7_j--J`uDQn;jnC z&-klZL}a(*!%~qqd8Ug5vsc6V_5OV8bR=q*+_%7;tvG|xd%rg>*ZXU$v9cq{rE@YC z4%X?J>YwzF`$WAi-D@N6@b~;;TK`Dgm|%c9k*WoD$8n;GNd)yl$Clt%oV~i|{)+F= zslU2YJU7?%9(Yudj~gRO4UMQNEpD_d+Sy9UeH#U^qlxy=O!IU0kh(ORKwIBPIH!kuEEuiwC~3~N7T z?<83<=+k$k{rt#ZBYWtWY76ZJ4iJ~#o!z4ZoHrI&4=hPc7T=y0u(kdwy?E}3=uqiC zJkh#c2ZaQczA-C1U8W?a)3(RD8-uV@J0qTdSd)Xr$mBm9zf{qqz`*r_@i4n-aN>l> z?mKUF4;W~m+8fc~uh2(VV1x%P^uRWRnNsIWOj_$Li*9z?wdGsCx1@$@tV@($*e#h? z`tp;O-y=9pJMct17d%QvR#p^VNptf#)MQ!;}p9t;eC^$53r-k-3t@x5*BWOtD@mw5sgUQz|(q1pQ6+sltGdvt;drAnY7j6k^EJ_4%)lKuo$x9fZatVtSmn2E(SRyYF2ldm7Q{X-I|FS zv5R$Y;FD(u4?nZ8g;J$Mb*@5(3Ul?$y)lZ6ak}awboq=5r8@U&i?jR_W>}*$WP!d* z_hGJCQ;AUl!8r!7#P8QW-V^c~vm_W+j`qYBU^(y-35*cwEq?#{sRvR2`I}Q%Qt<(Z zF)*Xe?r8TtRW;PLN$$iD9C}NA0+&@3WYf){or`s;8v0rkbtB?r(+@!Q z$Uc}>B3hkxDN<|^B)(h=5x}!@{eC5y)C#r+E3vn=U$=J6fhL|>(6Ob~C=7Y!$T0^m zAG?tDg0(rXyocBq9P6rl;lqbtt-vAK8WZlWDnX+DdM6fyR)^^(O(2pL#zkV)@)cXy ziEe8XM?AkL+Vo;1dvL6T#qa5i7y)nyAJvxNw5dvdy3- z`2d$_KHSro{`>Z5Ph2Dt-wUx*d$upCp&ab(>-vTv0MSusKNh|9immu6yx@Q0_$^V= zOG>o~JO0J0C;V*MSMD%^5BTQ!uD#Ey|APHtP0cTUjU!r_DL?ym;NsL8b)u}&3j+8) zB!KHsCsdh9)D7*l@tW7a6hYs83o34kD7A5#ZwD-ty&^uo&dFiEkyk1XySVP_ygGDU zr~p$rcIVVhi@mUsVeVTN3%t`h4!kA7tS}tyNIQH`RmX=@Llu*ZTjclP$zJePAmu2f z-MGQuWOcx0Rd=MV(dT<>k9mAe{Kj|xgFgFf@T|Ksg50(@+*}wMT!{E#FZi_ijbl!( z0hIV}zXWc~RcRNih?5b-Tk205Q&K}6Xace1dGM(>%V9}6m*|LY631IO?P2>FXEh!8 z^QnKVU8{h9AM-)bt71CQ57wAjhWg;i6Hd@$=05JThdG5X+yl=Vus2|s`rs;d_qH)t zf^$N}b*5m8ss5ul>Q$DoLZ!Ej(%nUs)CZN7iE3;hh#GQ)NmX~jf5Lz)C1SQGs`<2Xi_sun5tr=)(5gVL?d?1#fpJ99?V*b*y8OFXkq`plfeni zK}|ijfYn;GKL!r$R4AJc*wMl0x(jeO;9SYffo8_5!Njo_#@oIzhU?~C+K=_mJT z`{!i}VoD0S3mzz>+^^V?lp==4^ITttad$JcWg4eo)u5K|htGMcgB3qCG;~g1KgT)z za~Bo+^gE~T!iYazgwx*dXGI7u(m`-@L>p&;w^ z&MfntC+Jp>h&M0_6Wyf2KhTwfAV#nSkz~ZYnT)!IhM3CA%IsZ)a1S%{VzJ-ff5NZ5 zSoLfEm5lL%L&$k)+8OrcX~FpSWJ~p#qR|${y0s;BAjF^R?{5!-VMeq+E6$*FJjhH9&SbU=;({ zaz9ils!yq*7I(9@I`kBpR&R~0!k5HilT3{Qot}N?t6Mv*v8X!rUg~I@pijhnJzX&- zk`W(&(bb2(`WLYs6Qx1lBia&P*UA$FU+qieO`F6-iIRfY1o&|6 zVKMjrd02U5qgtcz2W}l95^;W7xc2ue%y3ST7us`a+8!%&_%wad8k1R|kB$#~$DR22 z-t@2zg@3{hiTIA@$_IlL%H#+ z2H$t4*T*TrYeb$`>Px*nMFqp=K)L_AL&`XN8?VogYiqyu_|*w|RSH6g=klJ+&cc9K z)lv|7y&bSm`z@4_?Bi8(cNgw2@^9@kxwjThTnKY2sye_^gNz`7pC&g>!Y+Esfih|r zsDAbK@x;Z(D#FxW_$%qn4?^xy5HV7{=C1|vbBtuCCMKBLs6TlVogXLu&P5YN;6SD! zNs-m5jgxL?;IQ@DA2WoKWzIW?A7U^60pj?#^$#DO2Yy)g_JRgFu+3Us9p%mxe2A=C zH~!-&)QTmyP<`tWqJgj-LSH#OZfOiX&a*$=W)voGBB7*-?0><@WhIIKYd6^Huozy@iWk;_C6l&zip!484(!w<3g&;;#JHBO~=i z-L^egwZ)1ZV778VGKC%fPr%;;;v@VCm+_sV;kKY}7)ifH|Lo|SU&&%e!f`zpFZ$jo zM@24akN-+;RYddfaI9Txu0dtv6x%VP7tBRfP;-7Ho^ zoG8g#IcIeAk)NlO%6BGmPSgwdT&Hek`I-HGU`tWKkN`flp0Z%U}3@w0s(NE+IsnN2@srhR5I|oh)ijrW(N9%5FtG;{}N<=;=HI9*U zvuy?sWClkq-?sKr;>^X5-w_rdftC%m0>DAgUSLdup~M6qeiwn4j6aqfsfM~Ng`~qn zpE2xITX;>H6G3fG+vZ8U_#Se1li<;^r3jRnB~{h^ zPuYpX6D=$bk*K?ozzRudn*pl(>Ar(|8J<7#TNdr@?Y(*L^_@>C*pt^5QT9-2H8(Tt z_FKLRXQR z$$^z?m7Jfs>vV~m5aL}aC}@A6G-8$csUpUP}Z6ik7ILim_H{D%uYaIWeOh|EWNCMs;pW_+a83uQ@OHB_XCmu7-3}0v;m| zDO_^?e*ThB>h~JWr#(=LwQA>BPal4koIC5Bzua7izKB z`S^Z4Jp+S#*bYNf<8C6DIiaPCf|%(<22bRIUy4HG~@-zj@ifp z_w9gY_%K*#`imzv4yK>#!=2q-c_};$Elj|~{<}7PzMJ|Wvz>9-Cpp8A#QZ>#ArS)PXBUJ7rzM+h>jy*p=2QPlVUMm%(r1Q&Kv53oI>7a(HTN;BCG{` zaxfDe!mu*F!Hu@AKX&}m&flbtVRJrIozW*VB~H>2a5p_GtFU^f?n3jbu)$4QTrs0T z$h120-!L()j?`gewVRqEqCT6H|fh+i6(7!aJOEMWr8{1 z>~JzWBvCPV`&2!YmmII>hg zC_~_tDqGUnLj#MSgAN)c;DtQz-<2p0t<^!38YKwZ=XR@%UK%`o6*k~k^XjcOZ*F@% zVm+N+tvvH(^3L@{PW*Wp2|EJro!t{Cf4+4>PY<1+NdoIh98Wv1Yz#$J)G!vN@6`Nq zhOj|H;UPSsY{4Q>TpWtJGWZEDFp7ZpjqHrpD3j+gcch!2U?dZ8tmI`oP}*mE{M;}#2!_EZp#ho2sYDu6lJwL$qvv7hM~(KkRaKQT!;ngT)qG2 ze7vzEQJhr4G>FT;Kpt|47K48OV ze>&ENBRj8lzvlJdk0C#TX-0JMY^@jCKKFUoc^2 z57O%-;@ijN%uzdRsDzfr#+D zGyF{Z(1fPi;+qvHP>vpYftiPVP{Cpb!7hG|F#Pq?jtL%y@MHFKz`8-5+Bdwz1j?X1 z4?Zv<|9Qqf9BW^yv%s?*u=ngQeb*ah=f2wH`J~BT{DCCqutNj3;5K zgvAssA!z;K$ia1~$dStfMF`9)=he@bna#F=9``XIPup_zbZns1gyUTNb}YoCQiR0A zQxhU_OND6V*8yzmxLvf{^qxb~#i~sYsV1y=tC{RL{Hs^50*XN6N(;r7$A~DBn9`RI z+wC3ZzrNqniPDAB4+rq^`g0?jd(iufle&(PyYghgmhmm7fMBL_QA3|o*g-H6W+MSX zsjp85PEH8lQ1f^I<;CkgaR|6XuI$`gVQ~aR6kL`^vG<&5Isl_W^+)#`YD=K=Hm<82J=~*DV zD7Z67wcY`!e2({qy?A3O*3Ne6%$3T>r*yf_dRIIgQTX*h6MtNGNyL>y)Z^V=1|Jt! zwYS&c$&Ve`HZ+2MCfF*J>ro$6RqcbkctMPl7t;Pi3<7j9j&9^WO;|J7xqUi2xS>Ai6WRgP<8ic>|OR%w2CK{VZ z*9_~q?`(4oQVpYm1wHuZC{+10G|3K6MO`Uef0D1j! z#xeC7^fqJr;s^6QVk|{X0jdkdc#V6%iYG_EC1=HS?J!~>;wW+!=JD{R_1U2H=0ch; zJ%rE&asO>lr}WnP*NVZgOOBc9m?7#!W67b3{VA$>UJ)#KO>tQpPJ;MZ*QZm`3J{LI z@ykkG2u&J$C*kvZD%-1fz5bM#r1_1&DR&nO?%CpYjb$vAtO&)sFX*NbE*GhB zi_8a3zSLfHL*bPvV4fvO@gs@;vr0ZRY8bOwdusn%U&fWwUgTFlU*hw6ng}BO+`5TI z-pWB_z0n61iZLvB7!gX?(LXm-mHGJbxl#p~8U0UhWL1UbsaZ@%*ffaR!*7FW*vh-r zR;jOg=6qe1Lr=rdj+#2U279y&4jeaiKW99WF>qpf<(QKCRna8{uA6CZZ!jAf852>7 zyTx(jK^?dLn`_fCJ)Vz}@7_<36O*|Y=HXFdgdD_09xus;_ZH5+yilyR*8xlspc+Vn z{ty5XJ`2UHNfD-IlgQd~SSZT>O9=0bs5~z5+_M zX4vxjp!GK$xmC8>+PYTBi6mmnGJVEuWGo!UX-1vp14_hLb7Zrj@XZ;lcp2SqfO95H%J{m{rbhMd*ja&*FQh*J(7iEom5dfdy=YZx88@o zd@)BK>EQv*OZ{0LTH4<~%$lkRx1K72xgOC?}Att#@bIc-4nmF*W8<`nx~pItD8l8 z){6jDFGXmag+vJP7%In`(?#y>NQdl)Aj^E=8F_q;3n*&&_-3T ze0Gw0%ajONK3X-)tl5!{n1vUQg}b2JRrrFT>8MjVy~xT7u4=jvi%kd)X;*~q?@QzCpr9a$_r?K@atE>0# z4MB>TolOT{%wNq-)zF`2PU=;X*AyM{Yqk+m3O9 z;(X}H*}~ptIwG!9h~PwwopSwnY$J!T@sfr8{}-}PUOzPA3aBNN_rMuuOwe)YEC=Zw zC}vBj^*=Px-P$ikRR!%!Xd~hz7h&d=+X(>z!6^{N9MJl|`DHp2*-Qgf-84N`_2xRf%4Gf;Q`bfj9P*T^z8OJbvlRdHZ+4j`Yyr+# zSI7%4c%xO{+(DvlC%0buupC8dMhlO2@+Ea=DXOcWt`rTZ{viw?N`LjP=^_l@+D7k* zB&t7HAS)RUfat}d3!cCp=(7p4;=crx%oGnoqwG{Ek$Dx{dfEm+uG6=rYrmW>cXg&Q zUOE?cAwT!>HlrW1BdmL49&UO0>+~xSvlP56?_h4*#NFZ2?%)S7iSVCR4FSA93!SI* z@FfKU!^#5n=gcm}cb0uzW1UVtCB7*9BrLfxRQW0=X^9yqag<}bm;@>G+&u87fK>s| zjJs|Sf$Qo0vfKPwUv$qjU+_7rU7S0rWHn8V^`*DP(8*9IY{9siiwq6=#H=F&gbdDo zH`0OW5tcFIV9|zJ>%WPGi)BU#d{IiB00>5AHF@9^Rkj!!onhC;HfJ%vZBYJWLAua(#|0NZB(syYu3C(`!yaINYUsn7ZC&&47-KQW|vp%1Euk^33 z>c2!SgW^fLVdzKxs2u3>0Oy(gqC}FM`v%9*kmsy-(9W1zSdT)+jv6<>9`1aGE6>#+ zL40Dij1=0H`=o>e)C7QA$`II)0fC$7`7xv`Ws+(?-#a)==yOh!Q}HZmWGaAat(Zz+ zlBU7n(Bypm$@k+g2~CZ?iu;Xt>@doA^mIcktb==ora9IcrtL%!JLdU!q}V8Rh$qa1P+2`)KPKKq%K8%l6@Sr=hsn{50f_O!S}y^&v-NY<^-)L{dw!JCHk!d zF-55{AvV*Pg0p+mmljKK=*-IK*_{zh?+>a4Oh8A$8%2*umI21GJIkNn&EQdW;6IJf zg96D$33>H6ww>u`Nyl7trvb^bv`_WELSoxoAiTb)F@lUzMBV}08U?nhLT%RBhv;nE z#PLrQY+hkuj@p7M<#tutBxIdgIPdGerz^g_Ib{KNi^ze+y3V>pm<^BHt@%c>9#k`c zwDrwC+BjPRfN20kIRr{^g_ePkiR#lbp3a{CbD9=!h<9s8FCB`VK7r*j3fnd07CjYp zR~6HH?N+=7ZLklbXY*YZ`2oN|IkUmd?8tPL|a6A{ht@d!LI%aL) zlB2`?oVTR3cXb#Q5x7TsO16ZX&KfaKMNiF0$kYP!>1E4Erb!AxRXicW&(Eh*-z>o z8%#Za<=A~-cvRo-FcMdS7UyzyY{wH$?{F$$J=%_8k@5f(dq^Ez^lFbF0;I0R$%+gs zJOTnc6y*+02=G>Z6{!ly2w_q>%}tnu;-MPz7NQ-(-=>&QT;#0h_=b-m=0o#9AZlo_E zD_gNfV$s`7{cSlxkmQMUv=L>i5HmOS7h$3>H@p$56v;I`mnj0-1m5c_z|U!ibNjj& zx91TSH<>6iH6i`43^8*3tA_+hp<=}#I?&OfY?lQ=O%|;-0jW);iSySFO2=KsLmy&K zdd%$If86%3B2YUjAbO~udJyNPK{7|MG zWUoroqpI4kuy_@k_+$UGnjt(yxBq6L8ndFs`?Ak@YX}tE3OsxDULFzdyEq#WVjcG= z-}6C{y+f*=?^^#cZYLv*XjZlZZFqag@wMq&7KGmd9Vqg|fKUoTgCRybcI?;(kWG@P za<{AvShn^Rob!y(5cHz;T#%VzYaajPwyp=*aLOruwHX^rs9j;&ekrgTM`=5q)7ECU z5L-vpqntBgVrVL^>04PeE<)QM+ybZ&sN?l-0$vMD@7w%TRsOF4Dz2=a>0CV$hdl|m zLp1*?rQs|HO%IxdKP!kX+A7|IBkO_SsZq}J=e-aiFR06$B|KdXBB2n(2PbjjliKA; z{oe!ZsRTb|k9}m#MGUU}!1ci`a48t!L!nI~%;rN1Z>ZaWsONw)ODn3lLt1mXbx}u7 ze*#bBg&!e;l+cAqNs`X&-j*6aAWh2oB^)-k93f%^!h(=VgNV>Tc>6z(+8RIJ{^nrE zNu+H=&KPj}qIHP8=0t64mB*qXC~6KJXTLm>K3D{WT0b<1WSS%j5T3CGMVLks8y~Mj z)X~`rpK|l_SP>5jpxb2#9VZefiEpGeOxe?!3gR`y*Tq0$@7Bp;pQ^+ag7%w1a*)FKoYQ0MME>no7SoYv{LQ zC`Zq+G7?t5@Hsx{H2z1L|@?>_l2LWHXkB4=G4P0tD>H(MjBAU zWz6;#8Ckrv3!aCup#llvm*J0Gt24KdU-zA=nDW3kkgGTb?HWW^N;UNQyCdE{&&gO2 z1%hts(iwONh}3@xzdPEu@8A$ZDL<~dcjaH&EI|@zZ4sUX!h@NrJIHS{8`*&3R##m{ z4eCHh1+nS{kW}v@!i>s{_d_>90F8AxT(BYsAwEeB4W+DU$>pzqo{WF<$z;tv8TH6%bAR0#syZKzF4|{&RQHzg<6yKp=zY@`w!|o-QfFs@y#d9~ zu9#}*dLU+RFIQ<-^A*jfR5z^;&JnYqYNaK*y95-u-x35E4vN~=)+V>s~v8{-8``m2;gg$OF464G2R-eQgqV zLF|HXCz5>kC~`bRJ>Y&+F%(&<>RlMAc{EL9);p&Z=5h!;i<5!c9Txo?WPwwy7*Y_+ zm6vO4Wv?ym4}?fwt&$7o6reu%hj}^p1xNl`11y#hLO}xCLS3p*n~G9(idTI4mVyV0N|<_MJpOBz8d2KUYYRlU3GPJe`w!W; zagM$j^? z!2K-QFhe2?*W(#B1adfNFfGWPLI*o(wZ8+9rW!Hh&{_lO+WbJQSpek9UoG&2wE9ut z(h%ALBx3(|A&~H_hC0d(2S*=fLOxT)u!V@-5c&h;@m6fVPcK1W=!RpoA=f_!dnWw_ z4)tGed3<2^^HT=qoe^>DLKkZuvpfkWc|PRee4%rmquTGS{Nt%_&)>fbzjCeMx0mO~ zuk{qSa`~Owz4l*&+UU|B<=)Dynzvq;tFODHFDhqUcIJ}T2~fg;$`?Qsj(P86@TTAF zuz^~$@!0E6j`=5iy`W7wI`lG$*1tYGD;j3GuuoV(4?IqZzh?kb^>1Psy_Z1KzY=FMxKbrqK`j9ccqQ+Ejdo7IWT1%*XI9d5w|H(LgSmB{pgkhR;2Dm4L z1)7x(Ch%UdbFiWfl7{x2wgs597lS5ix=NkO(t|-{MOcLL0FVRfu=l1}1pH{PL3d7T zIsZ@_+UfCeI1@uXQ`3Sx6*4yhpJMmDGeXpFk5!BGGzwZLkv5;-&gR5EkU9TkpImMR zV&9y74l5oKiv_)yPVZ(0Od?SQ%J&U(>`aDt-s`)79~!QM*Bw~);>_>~e*?Yk>h#Qt zmXq#qnYTWw9pi=Lyr%0Qp#HWMDpw>zYu;*@wZOS*bUZpcjd{d>Sh-v1rrxPHg<5Onb{F#z|H{pf@;v?j~QZWs%~uI9MRldve%0qPke$jSkd!y zA2ec_vV)voMGY?4ewWCpYGR5M(<#xl7lb!1Helh25$mM0j>i@AbUvY7$vEVyWw3$a0>e})Ew?&f~>ugJB)DJ#4b=O4!A^f z_hxYs#ry(q@UmWn#tZ6S?Vo0UKU>qRR)5>HZ2IqjLN3W;$+iTW6WPkB>^KP6&2TMI zBA??Tp0xFlRn5srEY;8@9_j}EaQ%;qV{y?Mq3EK^epZtQ5*OP{Ppj~Fr`~82{96mq z!UO_^l0k%u8pwV>;g&b zsafOP=nh>Z(}EHVJXRp5WHwGI`<=Dg53Q@oPXCH}26&sB0=U0<9(V}k${{OsO8Rt$4MQ1+t%73ua!|W${ zj_Y^J)2CT|IPY|JDD7=={vIa``^@aw4li`) z;)v7JwuhhWwkG-c+a0`dqxASALmca^88h`x-J>w2X{lXhw72LIja8u$D0kU3aqW-p z?EkbY*_;zK@uZ%66sk3^mN&!V5+ObFv+sUx19$`8F(!We6I^75y!nXRsH0#%fZ8kU zOw~XrE5O1@*{^8paSbBKEr5PR7sQ`pw9g>jK9TrR6W}o#nnx>C@x-~zI4mWf-qwz# zs4o1oylQH)_3Og{+_-k&l&UuJ*xCjD4-)ANI}!EG+lxA(AS&Wk>uljq-N${wVsW5cIss%-*(-W*E z6p;=XX71cmLX()nv4mU=ss0babR-q=<{?T6C`=y96G4d8mrhP|K<}|z zmI|f1J~u=R76e`gZJ{HZvSQHnC?MJ;9U|@*r|o6(D@)d_{?9CUlhD{N!-wzpKjT3% zo3D~1{n7ruS9a4Z4YFc=vSg3@GVn>uXpWSvFcuh*3(?koTKs{hl>c!YUHPU>Ynib`dL7-{iwQn(2c4Z`dE?QTm*SfpF~|+ zYHgDay8MpsLfK|bmh3Gpae=y~i)L{c0tGU(Wa{dS;5!4Z0!D)OlkfbECt`(#2?46; zY#PV|+=VK}aph1Y%P;4L1PrCTL9pdS<5&=oSfDRGH_}S!Nd>_QmH`2_ z>)Dp>9Xchyv%mjnU-|u!B61<-X$Za{CQPRrXYZyx6+0T@K}ck3uhYNl~lATuO$@3vC52CMKB(7KsnEU6|~ zq|<@ObQ2;;1+Ve#SG_0W#6YjEA#+IyDC8ti0e}S+IQV|m zr2l1cMTkjYfs4Q0ft`d0OH2xO3T!?f>6!Mkhi%_(L$$xf5mqR`VKTTia^n@kSwQCX zRuL?wTL*$GVSJZ4=u?4A$iUxHPRbV%zhk@M-;T1?=~1j2qg4T$ZW#>Xmcb;939{{a z(j-m(bcXoyM$IOSuP1dFDtJT)2$(+N3dwAwJh(_@#Y5-mIUGiMK9*=Hvrz}&&fy;iBN6@+L|0Dw5` z?!b-M3n+3$$;L&JhyLdH5Y%7+0*dAm`S#GAh*JP!A|RyTUmUA4Ovd)*5}A3xQ`O%2R&JX% z+_aiHkm^c(kX^Oa+O1>0aZ6 znNu$`(T&n@K-oBL5y_V;7IAwn}#I=ej zFhynm@Tt$X@g+hz%7n1P|3ihb;av#4!VT~!h`R|8xMGmydb`XQ0m2M@OVgXvuoG~c z@;_%v>?sLXsiDc^*9729efwDJi6j7?$D>Pwa7`0&bz)DVB))p(y?Z}Vm zIH;qA0tPwcoR#pW6R&cfgS1um*i<$L{t0;93=8aU#a{(A2qKP>U)FWSNUJu$SVYG8SrK> zU?>p0h(gZ~M_3{iV-P59AHFRA0K863lcqpGQ^$av~MeZsH z=^KcN)&GMQ9Rykb_cv(}K88-kE(}$3Mdxj?y^hjQLFJ})zkDS|MQFddJk<>!P^lkX z>1agfcZ>YTs}3LlL?e$jg>~-h$}9%k5q~jV7p%GPi>KRlRHniMll4LoMGydQ!B3uD z?9g*sT%|Zt5*&F{HjK)c$|^UVRnDJVxi9Vw0rhyFJ;)DVj=B|(S<Bb@N)~?%EuC z7*K&2Tt{xKf(zeAEfjIo*dK^meCWt9% zplEaq#V(3MVMFw4XIkliH~>`7_cY&r1;71s&o<@|`O0je4Zs^FQ3ds%SC}Dq60W42 z+kUOQNFXW(6V;lbDid11yaVr)GVncM#v?^^Vf-yzU<#R3QLG94eIS2#*3 z29$pb2@0y=R1GC-ku08srZpjyE^WJS(^=j&yL#fv;dF+6!3Drj2|szRnBNb6a5NCB zhH;dL19Z1f@P~An2~6k#wMo61i3%muvaXezkS2gB`C!Nb92L zNLaJrEnitX3yN%?*-lYkZ1SPk3jfk0s#Dz z%k$@f^qGJ2OYs)g;aIdg?Ru`hP)=&-0#nJTgGlW5i)ySA16*gSmfCEIxS)OI4vKjT zc*sncgm*Yp+lkb#%U{w0xy(Gb_<4TTXu!2E)vUf?l&tvR`QR_6{ znQSKl>q&--q{MWxk z?akJj4KA*)e{rweOZr)?m0f0B7lHSByDW6CvGB2O&^LnULX^0uL~~g| zCkkWgtiVPg5Zr>;rS=kjrn1<+irEjwz8Ojh&_L8nJmMr(-8l%uzy%`!*4#rZ*Hefa zF1w%)TN=l}XgR+xvk@jg)*tyr%73|T=6i(yODintY%N<#*o(L9>s7W9%vM1|m_ zdMWKavTRdki9r! zbyyLzDVnzvw>}IP9W>4dRGHj)m=f(HsYA5|wFVfRn(9ZLfh7yQ(hg?|hYks)W@a8* zNrAhiSEXFu_(FWv_I`gR4-@qdCjKwSn&3SfDu)v+uJjHocIn}W}mO-8(w|RjG8;d*B=V{K~|0+3_$|08qR;{eEts4YcK+zQ;CY31!14XeB7nvfpMhhZTw?;LwCAPFB-6J@mvoc|FaU7oh&V#Y<{@}sR*0uL8Ov- zrz(KVT>}m3V?cz6)(h$Kj9LZ-7pv_9>_TqI`c>MmEhlnpGM6?sLV9|7V35ld)6eGs z&yl7usqtYZur=*R&e?l_g9||q*PSkiupBCDhE%#d!l>!|>M}q&&l9mu>p#IXHxt5b zL}w|D*z(Sx3Z_^<6qNTsYmBDuhI=dYs?5sE%j-d*SU2g07$&!EGX3CaKqfODOV;GP zU2oBB`t~K=8|dYL*I%UUK>Q|1C{%Pj4j6#0pcf|bp7^_pMFI)JIl$x5aRqg9Agn5af%^c4!vJw8AGd)r(MGK)?2YlDWiD`Rhd-e{PR0;7|I zJ&#|i_1Ro3x+7MZGfdL|@NF#H!1<#vMh7@55Cva~f`>O`T6gjme413ud}!o%sT2gG zHo88w%Vf@XVo~ZlBC(W>{gL100pm1y-+9i!K%$ zg*@Ns-Mj0-=6l%xM`!xz*!@$Pc@1&W9`Aj4GBYano?d9ue$myHA7Y+wcutfwZbh65 z$y)>*V=FR5f&Cbw5uucWEs80wqJ$ICT$$v&hvBIT_{0kM;;cumJ&upPS(de34{j|V_SmOxL1f+V4&S)g{H=FRZpSnKjs3nWGhaq^hy2#;=R2MK z)&`tE&Kx|>`|Bu%P1Z)!)qEL2(BJfn zhHzZo1~SsT`Ripj{EwcGvMn;a9g9Vu(bPc2yp7Jm--Nd3C(|shT_rshQY9Lv4N3#3 zlyxZM!W?)A2EDjD#u3o2s?&J$nP~`usuH?znLR)T%O0bfFXP2!OK_=g-0j$k8KB4s zBSebcBbj>$Z%l2KTA#|lC@j7s;i7QTUM5Ym2f0pv8Px0}KA#Q7U(9#2^&3oj>h1h= zDxWX%Y2hsW~c6=NenwZ`z$ z23hR7ZhiwKkI>FVAcds2lKtmrY!y|Zc5UwDTKO`V-0h+O$*6vx5YluS8W_!r<;N$z zzc<-)a8CK+=@VEV3FykwY|*5`H$kJXM||ks`*m$|GMl?K;$V|=zpiD3`(|a){SR#| z^3FG?3jAN7uK{m6%rqkmNX_?`g~#Duh$m$Olge`njw7N#3kcgCJw7scE7mxJELbyG zAS)Tfg?rl#-o?yDoerHOBx3!c1&g;7cXDb%XkY;>kvM;v8V`M;CW#+eM2(_v(b+a? z-a-?%tf`@AI>5-Bw)Wll-OraXu%KyyW+LFbv+VU z$b5#osRbHRg=wJu8khUsV9-`*1N_0`ii*3k;BM|V$fOb2SuCt-6MM1?OS%Da*RPf~ zQ!{ItRn0>QLruXg9MUecv*l;{md|P0560H+)%m*IoRS0lM;;P(%|gr;&u=b!T0DMm zJvCq3nEx4k{f+tW30Lm*I$BGYo%OkD@bX2#f&rnfgK)gDKNI_rd2Za=u<(lgKcd;A zlItv5k~Y3>)CqehCml+Hy6nz5W%$GOC*|NxY66%lS}X<7iZ1yhF99O4SX&I3j6rr; z$1jMlASisXF$2Ws&~p2d0P)7Q!|9%8D}Znrg66 z3$uu%tLD4iaOkGR(F6it21H5CMUWrMXf7hKK)iZZ%=i`42L!;eWyQQ^m5)V_U;93} zl%WAA`{j^{j_G%NJL^nu?+L7*3_^$RP-}Le$UX~|2L2mmB-YP2K->Xy?;aFhyOlqj zy6AK5(RIGq0nyP)#hV7Vqy3yMZ;&c3y@i+q*_{~Kh8+Jx9iWYZ&0}pK6rGWHN*%<( zm#**aGHz%LL(jR#v`H^&varIJc~hQXzneu& zhjloJGSum=h4CGo;!QE^NMj#$Q(TFf9z|0;uPslB{Fo{0Ik;Go@AcY1;@2s?lpiV5 zs~&+h#cVTiaUUFQZ|d@G>{UPSE_30bKK99lj9$wv;oS@Z+1cm*H78fcsQKBmk8kL8 z(!RuedA4gzS0O`E-wlV?(%0E8%vJCu85`B<=rD8_8nw@>*f<<0 z9dzHTwZrc(K&PI=Bm>yb)JtI(6sU}&JC3`a7CW(mK)XR!T%}-PA_h%_+Yy)Uj}+7y zlYgg*g;l7(^IO>F`Fq~Kd&ctjuX2SK?|*nT(YBGF&(Er_zeYwnKW&qW!9L|R*6tq) zmA-D}>&w~&E(fUWm=6J#LRftHp2s!W&^)R@_fZ1vS_krdC^Nx03eaX^kJJoeq*{f# z&5emL!g6IxB=)0Od3;GhF~Go~tUo4B;`iLqW+uHq1Rl5mpvj4d3(oN&kw|>rM_u35 zXtaTuPyN@`XklavQPog2-;zTgK0H({ zvmsZ#dOx*Pa_Lc+xaZZ+C#JqRK0CJ4|MxVX%%1@)Zw(^(O5_X|QHS!N7|pFkgZEH;$t3uC7& z5eFD;6tMtYWH+UKgNc+pFuG#NSdpCMH4@{KoHV+!DDvRflI(*hmH6hJJ}tRdtRtZ? zWVPgl3;E_peg*z0{;|9-r1rK3xrI8h8dm#4Qg%4xQ>4Pd|A((H4}`k?`c7rv8B|Ic z+eBFsvWFQV#aOd1DP=8^og&*%wp5lZ6In|3WXYbWtl6_h*^@0h@A*!@`}cd^=Xu`u zkNIcrJM;Zs*SXGh&gb(v=N!*3+z5%-Wk7`!NA_HjjC=Ag*1cJ&wP2OfvzV|L848f{ zUwZs~xr%KAy}jc+@QHW_%M3{a6sL`}_z4XD+8aE3*`bli-F^RXhHYC_cY1o1@U9gvCz0$9Rv`ZZvwRtb@V$DEM{li?Zf_|_ ziivsm!rEE6jh(WHZQ&_g$CiKKdYnRc-A}mke}{D6{J^o^ny7ejHVFv|sw;OmTNFe| zP?8c1w}URiqcvoHF}6DRh9KE*YVYXi5%Pw&0%kq7y;a|^NhQ>Z+^gR%EEPw?1~=rCrD*{ zu#jZLRMjZv`peBP@49V?!TU%c&{($Ck|r9_v)=L=jX6~ZC5)tv@0sRFJ&BcWm;jOpF%d#O1RNb@QP&)7Wqb>IBz__l)NFc7tJ;famizos_??#|y6~s+TlJZeR9r z?Y)sx-UC9U-=j4-G(qjT(hSRz^Vs-7=)iZJY65DfzI_XaiU~gG`U!3{@%V}cB66Ka zUG#c+#5mGt=9RW?Y#Ed_;CHPw@GEQX3OQkz&5t8~IaB*f&Q1F7wdC|u1{2l1@w}(Z zA92Y(LE4mYm3;+e9`|+S%cu+uM6&Z!ZRwHRv)#UL?FU=6eD#sAhKZ*MaGmwwwA8zb zUEW9f7cN1bi0WRf<`h5q-x+`ImssendMt^jLn4_83UCX3bwM#&|7K_-JMZSXKX*ST zL+vQ(`TV|hNl0u2y=sa%U*hVI)512UHyhZDvlKfxa*IZNhx(a}3Hd{&1VneY=H6P_5s(?5LO zpXi^f>6d6wfE9;Rq6nviH;E-t0uihP3cYw^1`@J}_eh;%2PNc>%CK~be{PDuP#YuP zUGvVE{+#Xp?d(>?diY3bsZU)}}pcoy*vDaCl$`%NK#FfKt z^VUSQ`98$5gfws+yE|KH6%~n_!5Qz~9TF52JR?l_w6H^`XCT|Za2eTmiQyInVU!ZU z@qoG%6dgi%Wo4HGWruN)EL-~2L*v7L_1W$FsQx_Wmqe&zpNja^1n66E9OQ;KTXG}) zIFhvd7=L+qX|jJCe_=U)gv?M&jcsqKooPF-h*rJ#){?h#E$27?5clD&g~yczJMQPU zbES$dpmxSn_Q)Y@`#SJ)_qoKiov_`-w!Hww9VfnAQe-l`wfrr?7ckc7PToA2F7o$< z0XlmOwe6dfqnvofI7m~LNBw$gt6 zTesDHJ8AWj?G>t)%K`*Q&67h`-gYT}!5yN&jJ#ji2cbA`~Ja`e^K8$x^4O7aLsFK$SS zqk}gqh%@Xn={VuS@Ro>4Qs>b{obzk4_XWXrlY&Ke&*R-_Rq9q`OtrPGUikH1JT(u0 zVgG^4rELrGF}K2q0W_TBO!Qt{$^)$vG`ZVLUbiB>Qojr+iAhRQ=wBMD9GTTZ9j2pD z)6_&EeFiris|PmAMykK{$kAlXKh-};2tBw|YBKdSFDZ!9{8wN%_iC$sPvaXIQ zuVz@rF?b!lAZNK>As126(%87SPStU8+-y?9O`;mkM_Vsej(p}Pr2lKhgkZ*LA|=cu zx+?0sdB={lWOsEp-zXPOeG$nEnX@jMdMCkil9v6nxw5ZcD?^Ib&aoXT2eHgN4Q5;! zbmJNhv2z$MXT#w`iBdf^O<9yjDmJ+*`sf~-?Nqu1ZOb!Dc)m2oz+z@Rv`7vOx`>*l zS-5!BC6q#*@nlxM(*0g973wB?c9ynxSiFP7sW0YdI9Y}@?{x)cvj*cXeEk@=&Uifd zBxlYx;jwx!`?JadW`0LI>zyt66u()+#)BrP=eKwF*l`BV*fcX<%hd0t%7ps~V zL{`<2As@@niF=kVSmuJ}BHOm>qV_$ff-kYdh1tF&xhc=UarW-2ic-*}j_kdSAFDBf zz*0l(SY^YJ2(PVqItSkWY_2 zS-iW@VCex}^B)1i_6Kt>I!IwOQC(=louDGV##gBKA&;``*Ggg1`;vNqISQS-t(`<>#N&+Ux4;$>%ZX z@RLg;=`0p-B=2G{Y`o3ZmmK)C2$)aZshFk9P+}V-$@7Bi(5-SM=hydhUOEkr=ywj_ zwXF_>EVE2J?Nx!b)L4I9DJ4vL^M}oUoXRn1ar(!*rD&pNldEeO5r$Hw^vOC7oP8$k z%5RT&@hmopF!a)vI(r!WX-q+J;G1?sl*HYkNW=8@4}W~Ds&~YBGhgCUQkXl$n3kVP zZJR%>3dFaH+M~nYI*u^pv2&=nXb^s4@jp8=w5`u5g*6|H%r*M#F^?fAA8>KW)|ox< zzJLPpLQf?4k_|&KM?{)h)zch{lZY5;_RdGAXv#zJvZlnT>;o3J81DaR^ftujgYtI1 z_}5fu-a+r|1N@FMWYs=DPF41;OPIdD%}8+MAP+;AiyBw*UMv{G5pnosac^GrMB2B0 zMpA-5V1naklvaBeFCizP{BT9^R9q#vuM`cg=^u_$D8-3~r!=S8{9wgaM|N4xaub_O zhz|lVM1h;g1E1NJhVu;$u8>twN2!<7-iQ6X%P1@E0r4<6_(F;Q4+6CiYo7oE^gA!Ekl2~B9At*-6(sduW*oUm8!PAbKt3JzZP(m6YN zM?7cCAx^GS2JIf6uSr zBkDcFWD3qkMY)AV8Qd0rhwbYVAeIDJs3ouY`{P=f&BWm^J+RlgO})zJHW zrwf=W_}IT&JPKKVI?ny_1jY9~7Dn|pUZqZP^{96n%xZ&+rvxJBztL5A-L)s|<$j~C z7L*(Hf24(%(v&Q&L0#pTtg)A1DBArYWXo;a#*}pIeRCOW&ebW|E0wNMJFA=(mcNQB z#AJFOODPW3z97{?Iad@Aoq=quGm6|`SJe(<76iWXm+ z3CdI8h7QN8iBQc993Fdz?h6g=3zoCFVdyspL7?>(>?D{gM94Bu?u`9Cy`_n_#M@!S z@<;xQ21}P$&Y`>=(_=0e8?A$E<-vXzY{%y6EVq;t$CJ}0KLamk#_|%>?1N!PI*FkQ zU&;$!r6lNfjo-q@ggQPVDe%%X;6{IZWgwfiwQ)Q_V9 zzh8{7Sr#Cqe=wI@)x6ntf-qGP?(&CjBUQ-lRu#p9Zd=t67iHC-ETLMO)(tyZedj>3 z7yNonxfnAuU==)bBlgAwfmp8ZOsKkEe|$uLJH*ftn!lDh&du0{a%SM7hp!xf3mHz@ z#wfQsNmfV2dd5Sdy_fmhg#|`o{MmEc63%=Jv!4x7-j^mGOQZ!r?#)7@#)g~i%|pf* z)_#f;+EHddWexV6PdFlYanIP#Q@+HK_oHKM^>XQf7%kMQjA_?$6whn*x!YTZS4gVF ztnXZ_R5D_EH^IpiG7M{fjzGI4*z3psjvea5Mz270j$tBZt-L$78JxFNnEewqu^>n0 z{cV$vxvC&o7p4Be1jS5v>#!J&6Sr-9(jCALMHELR)iWcT*>D`+hM9aXx%WDK@b~x; zN?e`ot%ql{`aob)Xm>1((rv3$q^jJqjbq2HSoJ)4tB_MDHG0R@0KnTc42?cqJ^Ni8 zPI3I*4S%nXpG=y)EA`?%)v-Qd>MlFbr4&+5W}-m@fd~WMm1x;E1Na05HDFX3jWE99 zNokZ)`9!hW+sVPbSj^I{AL+SGm)V~cmbnjNJLYBGt&E(6U(lbnQlgm~HIhB;_=vl)`l-KmLT>)`YT{_L*XCS#yo$1I&@_Fr&Lr_R4N2?ag%qsY+JmMrRfy3 z5zss_F!bU4PYhv4L7Eu)`eMLp!ZZ{!&w;1$pJhX`ornA%-SC-jkpLS{oO;DA@Sr78 z>%*>|FNMwZ({bD8@XTk$+)*%fs=26nKeoez+ zb{x3<;(p4Hj>6H3YHRoV7QV({VmL_8=GgM0edUK+wFhIFu&kRA0HD5;!ZtR-Rt8GA}OQbg>73ub`v!_Tj`Ua#%%GvmsykP8Uj-rTCcE22X% zFeQdAoPU@)361FuJN3gL@wFZN5c=28bjuNMAa*r*%HFSZ^){T3j8L?Zl662h+CM*xII_FuU%xbH8X8LI zOd>sVDPHJkG@%)S%Dc^5z9bNZ+LhdO7@w#iNYPhP6=}@Pm{g#T)SXo9jb*~zza@iN(XT7Dd51kr>eFu z!}tpjSK*7_+hK2d&`v**~cF(0`U%$fJQ6sJ;iU+@sG@>X*YaUCtI_WO2ctFb(W zsG;ygzRiTS?-`tD{r|JfL-O(w2QR^;mz?UhwsKzQlQQxMUxbq*h&#V8n@J_fOg=ID zaP?w4oX3F3xXgM!f?f&&x+w2cB4*{&-0>gS4{>b99v833zM=Zr##Q$#r-?VpC~zGw z?0ZDbuZA^)X$DTj+ey2hwMET`&_1osbO|wW_kZy9fK!&kufR_~6|R(i+Fv9TtTpZ9@UXrs9b-)13bHtvyVVvuuW7myh2V* zyZY&rK@U6mj*0+NJFeqru8FUpr!hMY%qQP-)&SlK4)Xe4)_5((CUzAc_(vX!X?9a{ z93>Sncn?m+5EVO)71kBttZ8^yPsL?*tC^mm<5r13G;0>ronJG*FFI_Coa;hNXPk^> zTjq#Pz?9m^p(%}H0o4}W;&7HgjIZSo_~7-msC+EN=jA~5sr~e3r~Gd&~&uB_iTSaDbt5JcT{V>Uo9GMUw zNqJ^e`c+k(*zIiealQ)j2fRSR(mdw-<}jGIIT0f)%z)#F)R2$5;4M*k(6dM~GOotCsyH2+L;5&6H!gC2)SpM*=Vy~0zp-MmUQqk~$xMTvKm~Y_Wmkz(K znO4`Y9vIgXS4gO;6xKkU{@fA-?vHJYl|8+t)vQzNKF4Xoo8;HQ&cj!D60~Jj>BdMv zU2biux4@OSN)40JEZqw*8Tqz__~etj5SFE<^D60AhK5pw5(ss=ZBf+FLg*TzlvT5b z;6d7!HD;`P+}8r~JN)V2$aM2Ne`pncTsq}-mHx4bZ1&f#2Tj7|m`|TR?GLOyp~fB* z6jb}#sOZF*Gb}j#K3vC_fI#iLgA5iCrsLOiTTV8Obkl7Ow5g+guF}ipmwn5eKV{n% zW!Y!kxgAC%o;Eq5AQr~F#q;9D*emYL2_(`em%F7|oPXF(Fo3f+uW2)_tgl>UMdE^t zyWnm;Tx@@L9c`-rdOU@mY~T57t|RY;W9vPsy^aajMoz+-pP3Gefw6*-b8Hq#$6dm>*TMr{J=+m za6-GgYn~?pKpE|uvj(T6IygafI2KS)AO`VoZ~#qW>Lhe|f=StGUL3Y95f_@Y53-a-$Hj|APjs!xkhkpm6s2*P%iK94(`pfeO&?2*#OUmI#;=L;e}3mpCm-yzRy@^gsb(Rtum55$I9Kdnz(OY z6u#Ksbm5}&YrMm=67Q~hwJ%;rg*{pZg=aQC47!(#B2~tmNaX~6boxo&-jVUg3 zorEY|kMZAs4)S}?Nmg~~PZsS#15^s(wmS&96NFKLwTV>Ch+R)am z)GOum)AxwdkC~V|OdKerGsv`vcS(1qOX_vfQp2C!_+apCyG~IW*OABNPpQLkI-qIl z#xst?%{QbjwtWd84_}FjE;}e^SQQuN9LkR4u^o=%b!=4ig0$MdYD=|KA|!zTS>Jz7 zr3mx>eTT}ocixQ{!aG1RMy{9)0L?=Qz3}H!KMiCZ`c4a{Erq!AiBwl6yZ&Lle+lH@ zD0r)}u`z{qAwWK&!k}gDH5i3Xf`T&h6gIlPVhCp`3}=l*kzki+N<8U=9;$=VW-{I` zJJvR+xJD6gFj?A^0bo&I-ys0kEC+k(e)L?fm5uw005u%B$~}}564CgfF8_4!mslfi zT;~P<2PZk#^23Q5l*q+v+Ur!?&AFDEGka!s9Ng6XS5m(==mHHYFbSp}NBOJUX=3U2 z9yBK^{1GC&eB=AoxzZwEjd7WW=UPb;Gd7IKxmP7<)ED8m8$FR&xv8HxF>8K&-tepv zQuz1RnZ3bco|#ms>uXMpZv-2~9?b0>3ji4B288icFUT^t51lNFnY=(k_tezx-TeA; zL)7)BCLs19A%MJ-%qBIQnEp7Zy{Cu$3^8l5Pi&?H#4Ze`xwf?^+_fGC(b55OMe_*E zCt*qPyT_Nqh>(CEN}GT5>-M{vLAwyLOin;7BPNe84&YiO_QGmT5@N1$&;KT-h94?{ z8?JC<>mY)1*)y0w$kBRJ1IsZQu}n!da{H0nWCxZ7ryKEHbR(~&%U^3?MNCudZWuV9 zg4;|fQK_N4<-QlvG37GrTFrHhp5L#%^IcYqadLUL<2W5KQ=~%Rhmyy~_k{_P&OuB0xapZGWfKX`x6~FV*W)45MD=GK=Hm#Qa zwbtF&op)o_NGa%{{=~VYrx?$Pg)%^1EpN^S!U_TSqLS##1jh}sX07=nXwOI9T`JFs zKOw!bzdzZJf2MU4o}5z9|Mxy}|Ot{nOj9ue?+V>#`{tO0I`9#6b6ai`42 zO1w+&;D}jacvbCQgzxFugjUu(Oag>7|3sco>O)jiQTp@!wCX2mAx5X;hD|?$?uSf$ zebE|=hu~GvaVSQ}^T1`P;(@mMdtWbw2`p_7Q%RSRlD&U>UsV9BIv)LKY5%c6w$F0t z^0k46AfnCe;hPsTuil(xeSaXHHYTKfnW3|%aZP3&i(mhAOASyv_G6bWY%}eR)r($J zVVjH~&biB4by_55Ns9NDd|Qi*bvF%xtO3E{@4WMSc1xT9w`h<$`Ksv6K(?0-)2rXS zB!6@q6LtOL@a)eki`ocjD<(FMwr9F1Hcm=e_xUH!ogvl%nDqTA(o(#zILhoB=rYin z^{43bB-=%jgE2!fUk?hxQz!IbnGFMg73BQQr##W-G4ixC*A~}(`ZfCFSmi;!CwE)@ z10jKsaLvjpCkKlMs9?pUnWr0nCM(AIh9vN5D5T{2U#GH1+{}tiPjZcH-@PF|ANNQW zz?-lw8WX^{O-{MJOKo6zSATZ!1y@d-x%2BZ8f%}C&wK=!GmceNJp-*$8zC1Rm05LI zY~7L#U0IOzsq#yAjyQ7X{p43?qsS+Q6&Q3y7?;Ne?IZS*t;}R!Cy>pmgjnt@bDluU zGz$D`OOodt7|?3=5`nqv3xg@m?2;VcKBOq$&9+UMoAvXq!WA3`I_(qEl_9!kbhdWE z)eIh$Yu>suUE&KG$zZOIV+J$k%bFz$xsKSoi)Ijwu+aQ_&nYZsjZ{1Q-np$^ z*thRokGIv}Wh?!{)i0er0v`FrN7e8SHPbJ7nR}g12Poo;@6H=vDRbcys@@t}z`*2@ zP!m-1HFPL?*GP2@>h4Bf@1S`31ypkU#Mst2PHBXExL9cOo+AL)5htSfxeW&<0`84Kz?lHzx-fu=8xX+Z2 zO*1~C+#YV2p!E?aG={_3M&gAt_93GIhY~U0W3a=Bc-j)z5je|uemk7fs`;(4Ia;#& z{1qh$UUnni#n07x5s9RX(nn(AK~vuq)wxK*!@$j8)#imDONbc+*z&hZj`o!eDTa)+ z1-_|Pgx1NG>=cT=59&IiDff1r^t$MK7L4ef9gOOl6>|)fiaaHPcDl~28`?79EVe9A zTVuF?Opmz=JN0SRWZ%A9WA|2Rmj2NKEUk_6hlAA;1tIXx%E>nAN+QdSQi@x#iVL{R zxyVC!7gPM>^HW`T73MR{|1spM9`QQbt;;&H;g7e+7rfv)z!$LqzWHjW@Gd7TFCiX;ta@&+g!4OV zY*t^jC0^#Wzh59kiust$*JX#2_b$rGJv~18^q2{|($KCcq4noT?P&FxldZm02ZiaM zv%3WzuUh78wO4q0oN{Y;>)3etPReO3)6#`5jSqev_^ z?f$_F^zRtbF*=2-5ss>8&RQ?VDI!Kpj5#JYmizSSOH8!vr!0LSocjRTB# zEykOfe&aIgny?uSpRA^KVLgKNLs%ZDC*ejb!`+n#Z=dg!c}Y^^Jp)VzIcl;H35gH% z6oWeF0Fi55R4EwaCyAd!_YkCQ+ZYHRrf=j<2UzTb-hF0A9tQ@CMrhUUvBsulQ+FNX;0Yw zO~a0BTX^u`BVDSu$8%m519{5w%n>@Tn%IjyJ%Ldc=8StgGZ!NAyu*nBb;{>FrkJkG z0{lL$1M||82HD!%`>3vmlhe>37i$#oJNS>)oklxP^d*4fB&wCf$$=!^^L_;2B_{*0 z9j*(?$^kmzaU6~F?&q!$vl?t@r18an6M7ZR*?td}(4Hd8YJLDTVj$M{Bxc?C{A4tg zn7FsWU@EZ3uiodenV~hf>onooOq>dFHbY*34M%!y0Nf&FLIz*~p4F-aH2z5KzLj` zEABHC;BuK21uq`CsL2mtL;axLqyrLau_f=0demsoxRJD!L^a4OjjEEE_daH4{nVN9ES#PH|8#>sh!8)fu1nXxK93y47V5_PNgx4RP}o&LsviVOdBKdl=9KZ zKY^}pQ^l#0XM3A_M>l(S$Tr5+4;L0 z^f4o}>d--7x_8R3$JD`}bJus3_+3#>s%ou9ZF0*mPj++T!mZh#jAUD5ae{;g&nDK_ zJsPuN#%Mr_DLmhUPM~n&sq`h+$a7;d^=9J%0yzc!2L5t~1w@##K?}kJ8yg!c&G}W$pq<2sM+TJhXX+XSUP<<^3 zurJDe_Ymx>(`zv^cSD7q7}_8q14zX1!i#Y92GVo3bK7o*OzoT9{Xr>Ck1+qm6IchC zebafnW-Qe{IV@a#gYr(qDc6c)*MmaDt^M^Jj&@0@9pB@*NZProz3VmZ7uvGj5A3Sk z*|0Ep^0h^QdfhD}l$exs6fY?aI1vf$x*Db1+E@`|XnoW0^{VE)M>x8mK#HFq6hK6; zbOM^2_DO${Z9SkK;0w${!f$`){^s)-pxlUu5AOq=iAsC+n_X;lt>wPwmj#fDbL;WBsY_X#4fQ8=|M+a4J}dnO!3wU; zPKv^(5(?FKP;&z%oQV1X!uSQ&HQUx?ddK-phyw|s#3F&=44am+#uiZ-A3sySD2hjp zL1{11>xMh*H@3X{-W4NDM8r@-@;#e78nzojOs08PJ2oy;UFhdkgo8J6Aj$=j64ELfoJ zUkW9HB?87f~%z>wvPvYHmurWZHD#L%$8z@g395|Wv zj2FdH3Roy0rEL0^lPtJ`f&x+RW(T!XmmYwggjun{e_d3wU;BfgIsn56j4(RA<13o| z`_QVJS$5#!yF%TkV>1uE6)YefNYGh1sVSHhXyK3*crwv@n?O7n`RiWRI}f!hQFTX_ zUs#Wi)}*NvF4N<%9oapRW9L6`<~#RtY8xBVJe4da>uTm+I zY$E^Gn6l~_)zoh`X++FlF8wjp5l+r!>g$Mhfs^w^1CysuScb2uLNUn+JhmFT%=sc_ zt*$CIpenDSQ&__?8;9_Ga9?V1yIqLxLiBZbAv+cv_yWPq6MHG<#ez9bY3!yG_A7Sp z*OtjIqT~Ua;T9mAc`6KHt=Rq!ueVN_^m4th=6N2&vR^s`7V0XcInZ~0XD$69y=c&nt;|Ce zFbzb?=&CPdD_>|BDZkD-V`7{!XoB�gM&g4<@MTJ2EK;9cQ|-BMzRHlQaDtL2P{E zcME`q=0j%t3iz&?QX8L$0>mF~(@IvP|G0_+W0m$9!k@s6m3>z^@Q_&H+qkb&a+9n_ z8IV8lv^g$DPV*1wD;x>Gba=%G#m}jC6om1QqoPJxy)!Q*Qi$8O+B}4ol`wFQACJAu z9B(qO?KGcG(6v#*-DS)+L*cL=Yk*v;dprMatoysQ+GX{QB+Mt%a+HQ9KSnJ6gn&Ew zIDkLOm3Z{p2_$39m94}vpLB^u>*(uB!568ib*d#n9TlhE-PMma>w%+wYY&x2g+*QC zNK9){pn{MN+31qbg>FtB^&nFCuZj>`#9H$`CQoSgchbg6N}j*mO^)RDa~mt)s8194 ztGmdm`&PtON2)On0nH+A5Pt!>F=|-7E735`GU{4k7VQIF=F~t80<50~1I+qOiLQJ5 zBT;V~zN+NYz=Wc`_@F0@WPb5#c415=7C~p|0WxjX7zVx9r`+dBb!s5C(J(>KjKH#P zBqI8aH9A&#-O2V8Z-27MFNA0bHP8lvmV-mBgD}DEQxQQ0IN1NL{8018bbGzT{u+Du z%sQqN^9i`Rizdex9&y2i2`4+rj1`Q$@jb9C3YhD5um1yiAYYr`SU=*DBV2QMl5w>Bu6JEY@+AZ$J5yXUQ7u^y zpDjoE#PUCv;FRx2Kr=~ag)d3slxX4tW6|Yq+w)kx$7o3DNNZLpdd(qQ;Vk5TR`eyQlVwiU0VHta^EUd=dUNKXUW;t zOys=$WHurktw`g2(a+&XC{>tmr`h}(^R;j>X$zn}*;qkdNuhv0>)UgO>N7*2r~S`b zs8q1r9oS%6^#Bgc;yd?rJg=G;KQ)V#;{Gt%njBTN={C~Q+H%)i5Q5riULDVy{x2c=g{l(F_zo@ zae@?!)N(VGQ7Sn>R-p2eJ6c)J$hm-ibgeN36T|Mk*d^}&T2^L1s0u?~isb1kV;};# z;(q6#xx@B=tpI|!Ru)D0$*e|fd;0<&ajmQjBFu)+EwCT$;%ni+FN3{I5YYbNYz4&7 zIXWO@vx7L)2Z1>0staG4tAfL#xAfrXV%9REut2S6}iMX znn3lkcjR|84)m0NxhT>rg`(e_k4{b&Uhfhw)D1l^xIQc{4}0??x?RKk1gXEw<0zSS z>8Q9$mWvgb`)m$)J#DK%2!77q`6F(O?BsUn|3l-^9)Zq4ns!n}KkDl#I{%Yvcr8N@ zwkH%V-Tse?gUe$V^MLIaM`PoT=+1`9@7YTwG0&fy2%ylysM0kk`IG&t!mrAODefz?P-<&T`Aj znlOQ`Az}gdH*sli2Hn9&z!7~onA5%taBEmnJIeEj)AcjdQ}tAzHbXT>A~(+MesB~eJv8FXJX2C6yOfczxh&j?iF*1KTT!Jw&y{pRbYzn(G z2j@K8DcxG0x>wQpzOX&uX9lL<1iFVmr2!5u*RS*Q3J8!26*NUFDJ6j8yrBqk0j^RF zbG+Nd^Tus@@u?j!@9l4FgCR6vUdy=*tmW8^^RST&{V4cgn>h!;{n%K&u@RX7X7c_%ZZy-g5$1w zGv2TZN2GWBM|(#1Xh)KFB(|rv{TnZ#ra8q=to7ALx%x<_o2+68dZA4C1_OlLAqw0; zG#rR#$+6}sRa}p|ZgW@A=aEQzJ`twOWH$X$67+D842Am+vDFiMZIpcZb^39MC(kq? zEN{H`wMAM;>O!i|WngtW9G z#r1!S9FG2Vmp%YUDK|Z`mjPiM@KB&LLkNKug+qGk=|WiOFODHhgrVJ9AaR@EM|GcyN-hJ}$lQT#os=W*wT zK?i`NJ|#wM8mU&vH-A<7SMkLC9osQ^S9ZLsNN>lw$*e$m$s48|@xm@6<5}@pCnTAV zi0p^hMyN6+0)R$%JjkYYAhtW+1&UWHYVyA#x2CqXkftWJXR_|XB34#bU%nc$vEabX z0A<};7D}pnjAj6yAfL%3KL&*9J~vBJ3|q2o|Al(|*|wq*%3^Ow3b1?j#{s(ZjYjdl zRP<*8n&+?~{xNXGI;)5E=CmNXLFk-q^HdB=7zoy9j{D#Knae&<9lJ*<=^mMgG2YvzK$5o5o#>8^(Xyr73DSiO>s?%YwlCl6 z01_KWN~6)rxA7|wyMmD8qc1b52};(9x0L>sO~R7(!9#W5YSTlBfY_vV_Rz~K(#O&D z*k^>Jk*YY5C<5|PXc-n7dhhq|TgRJ}aS<4_EIT!n4A|45>vu_oO#3g~EilqcPQe!+ z7t~t0Ke>6S*729r!Y&nD2IpJ<)Cc70YFn}L<-fx+`}Rgjfd>J%jd@!El50)*()KM| zR$M27Z3!ddGoZbdV#j4(zdCjwq)i9Ih@0k_sVS>coL*xYDw%2H+kEQSBMgtiF`I)< z2=(K`iwC^tnYq7856LjCam>^#pe5zi{_NZjcc3%lV+4AR-!6(Xr0Go0(yASHFzU$HlX73IF0m@mK zzrYLpU+pxR)FAPP0J3lkUDR6+28FAqqO6+|4YoW5-+(3+e$x8tRjbX{(@al}Q7rd< z$qe3LjRNW%Aw@}b|a>H>Fh>Ky{WoC}OX zS_RnwCx{K|0VP00e~?m{T=8v}NW*p5)T=*pxG{#pxuy)!e>Ql1uj$#LP(rwEabkw; zb*0Way(^%+?{NYkTpJYz5Gxv*pT9dtMN4}%i1&symU-uj+FM3SNN6Ad2oUtVzYh)w zQCrCYjTQ79whmor%XW3T02>iFRQ+PG0=XCi8!+%vqDEO{6XC_0pSuyxQZR?wpzJrpV4-00# z0~wh^Q4;f@&L0R2MDJ{P&um4mnvd>q@tL$um3_STl^%p3koF4=iy>7MYgr+maF1DV zw@t>+kNjTVWLxB$exX?R_@Vc<8c}PEgOk(h(?wDpQ$Bq}t%!v*?d+pR=f6JfMY2?+ zyy={*7wg-U^+wyL(FwSY10w!pkh9iJaA?)0b9{q;A6XCI)e8Pgx1nYHj3%tPxsVU! zxX{T0#HdhE)joYkfqI}TTlX-vv4_XI(Vij%S_jkw8&%C3@__foKdY%Zd)S0;Vck{* zVVm?9semIv;Nmx?)gRXPy^`aeYw|AKx-c~hWY5aM+=5rfVQt6~KX=(FGJ;zMD*Ewh zq@awKmv_Bk7(^bmU*g4${_0Gjuz^_`>%zE}^>N`5MHvwT3Ym`Y*9Ut~P2J()CSp!r z<37tJi%380+RXn-Kfr+xw@m=Ht;cnHyNFv|JmgN0zP9nmC3&rz@@uVVSnxYRiX1hS zTITb&-+_ZUoimh74<-Vs_Dpgd3U~7YJGogy)-h0tXSY-65)^_gs$Bk5VP@7ucg+oF z?t$D^&&0SjIgOf!@UpUtEOu{Td)a=7B>y0=Ajvjiju(wM`vGhRY%JW7P2$};b)oaO zU0~pHjN5H>iGF;zWpA5jc@tl9#cD0|v z?3FRfar(YGxf@76d`X{hdbhtoDFz~_1_A!s?IyK;@vurIQih6#Bpf7gjg5_0gd8+N z(VGTZX@Lbnp-7^iHBiJsK|yg=gg|kW0TlfZKLP0YV`s;B=SdvCIo9iRxkF*n;ZYw4 zKhO6k?&C%i@sDkDf}rRF&^GY~W~2PD{`V7%2)4o;Ac_x1uDnC8%2Nkk^%D#t_X!!R;?D@5^A^hvpYmXl~z0N6y!Q2aLu2-Qvbq6#^J{?gR9k7d`{!AY^%%U@NQ z)-VV88LV-ZhIwQ?Ioy|Eh?OINXi}4dei|-l&~993;^Y*9=pBkPWjwQhCXv%UJ@rtc zy?w(q`MixY6m|gkql~2fX2oxHzs6%0MFQlOtyb90B*>*J#Kx zLq+#CmMu5Ub`_luh#I(B@sAeZ?_%&M(tGm7$;HT(476>@8Dt;EO?dXb^)I52N9s!< z3CnS^iYtBql8}S*?DA~|2qurWpX3YH_AA@qgpj*)8n(&b%}BUkWQGQ2SmM#!uwM za5QmmXp|)H{FJI@MyeWsJITR(H_q}9xzdp2^^zAfQ-7h_<7sm+b^xgxVS7hoa2U5m zwlB5K4YxQOLyW85R&DMP_jj~fQR>kM<2j>q?}W{K15~;qQ$aMAM>h{J;jbKMZd9rK z;^`;y#luMidzeAP`co_$a zquiLagtF%>c+yc6^a*Rf#FfUwhECn4|ImtX5!bI_ndMK~Pt7qlMHdh6C4(x&4;%gX zZ~AKeZ%v1=9u+nx2af_s{e|&xrMo!0erdii)4yLU-Aq1;m{l0RbN)Ffqb48OJ3pW+ z63)usD1I*3yhs#JaW3nLCBg4p^kEwZ@da~c^`pwy^mp;KoV;5DTBaj-c ztAo{Fx8fs5sm|>mztd1ae;khY~TQ3WAnOTy)ak-A@xlz;*Sa3lBp7KhlZ_SgFaAnfnGa zW7U7u{aBC=F%s(c`hzukj;MnEN)(`SBmM?a%_T}Z9#aTJ+nH5m9KPepX>CIbEui@M z32J;ujmy6T5@0~Kah&^VTz6i6?>*MmBhC%bIzKt2aZoxQYD}$itFkSpDpss544-_vC7=NY<3WiQQ3xK?C&1}kgHkE5?FfFvH!6Jl$Ge&QDaKkh zHM2!w%Js2t{_p`%pxj(Iejjtyw>>DrINo9VVByF)Nnuij!UNzhjhmOvqiC2BhBh}G z?M0F!dZbWI(W}3N@(H$zm+czK&&(9y)pW1@b+mx5E-o$xsHv%GXCOr5rWr3}z4!-% zA78y|j)q2gsf{&){4NsKldPFcuvxYme(F@B?jZ-@giX(xqE zO;Po8_lbt+MBw{DG(<4{3c>5XeV4bwEP( zg(sn#8_LShZE|z!U#dcLkDXILNN@S?F!O$!HXR!Ue{cmhYNh-+Au=XI2(=@3bF$1jNJ%U<xU2lKxSPrVp`FNvnC4<0m${N?Rxo{#ftF#qy-_S)-}pre4MQ40jfmn<(su_ z)j*2Q2{W$l>>9UH=`8I>Y7AXNrOEMhHtFZ#7LuG}ze`VY!75M^1sw!-U6&=_$&J+F z+Sa&hy}cREJJ|83pV~Qqe6YMPm4?D38?O!;=0(ne#l!epEdKK4N0`K;tgJ6&@C{Iz z|D^P9vsFy3)HxX>Br%8{`f>Ovm}J?$a%Dd}mL-!c(`4mj=>0}ecZQLeNVGnR8vHv* zNdS1^yMpp8^)(vs8Sel-4l+X^>hKke-baOdCRx$QhBjIkv?w%5t1y#Z`US8|kkn0H z?U^sGjhijaGX0L|`MRW~^pd9z01Wech4SM`fG27ThG|F3{9?Vfb1M{S>@wQKy9urI z5GQ5iMl!o1^#T`=6>UDZTkPrZb_3#M{*~0L`LsX;{+Ily06D;tO{g z-eHCZqUO5g*ypXI$JZu5!)(?(4tbjyna*V;)B#`N0sHHFr+x2C5!Iaj8izEo$x`&Z zo*wE;f<$=jTz^q^5aAFW`;&s%O76_e;)WSglv|v9t0ZeFj0lgRqC?9}>i!vY1hT(T zuGQZP!hlEv+<=!3YgdGn(=RI!2Bi~nY6WK>TPpi!#dwjh&=ll)=a1~!^)APN2- z)amg5G;VE^bQLVab84_ER0>xs1~H5a>pHKwBWb32sB!zp+x|%K#6=9l@w0h>U0om!;k^ERfQV zFokn&t@Khi!;+#9lHvdywhndHr$M;YtTHtCDqMV7)}Ba z@S|lOj)xfhZc`=O`5b|SK{ z0;0E|=oHg3KU&amA-Oqdj;V&J&9tp(pJ9lxwmIa${PIXynJ_j7-O+~d7t|aGqSJhw zms0-E)-4<6iEVjGIsx;0TB_xN$;Tg{w{l*^6ETtu?hxb zN>c>V`Q_|gF?SA-0ubhf(SfzVZ%8GIug>5gA`Ih3R49z-Mg{} z(*4M=qR{-}?B8d~Fi~By!s*Fwn~;_S{v{A#q5_x<`^J`ZuZ)YkhQWAvJzL6}ev@_q4 z&}eagIHp(|S4l_+9&7W|pDSdOU2KWBE)%ETXDW?uV!}~SP z!LJ&Lf0U(kkB7Vv@J%_#yw%-rcH^#dA|RNi3u$OOm>K-SKj5Vu%wqV$2n_(v{XomE z73wd*dIVL0sj2r*9}Sn(v|K~t~2b5O|1bukNY@13NqfHbdn(r^Cu1SQ5I@FJ1q^jW65kC)E85G9f{ zBWB&4heqFsq)Zh%0)xcHpzzwO3J<1r(^u}SmXblnaqxf)Ng&&t7sH~}zd}NF0jX6+ zjedrITaNjvhCH5tTGeiO-6=Dv+yx0ExHOph0TK!U(t!LS)$b#aLKY0c?qGA_?#{8R zMALB^4^Yd_)!u&cc+)o;5aI-W`lU-OXo^{|9&(FJa@rn0908h06f+~05HdOi{{&2< zqqeNT^IVSHlf1?5+f7j20nfT)kktuuMBucDYB%;q?fg70Xxl|NK3?7z2X}bah&#Q1 z_MzQcbXt?Q6!pF&y_){ES(iiLtyh*yQmHhU)Xnu%8!3QoqE$g&`vg33lh)^zl0B6V z&r~WVNrN%SJR95{0-PrbbGZ9e`L8g>?bW|CMX)4QD9c?bBW{47eOl+LxVq&KjLhJ4 z1#KE&KhOvg5vC4XXtSm^uHCOZj7Q;ml@EU&(^4$jo@9-5HYVR2|Hb%YHo)maj+yA^ zx{xq7f~1A$aH?OrS@abGmw{I$P+leCR{eC-X9Cq>G|823X3OCLAi~Q(d?zsEe?0Pv zoCLkh6uWVIQZak40m1?#>2-C)Rc-RGZfu*e%tx6C%?|10jTDvadNrg^A`Rx5hvfPp z8$Kw{ya5z9)Lxz`OlW&!xV%@q#x%DA&(+k_YvzmFtua^T9{_=Z>d$@Gj18)0iNx6+ z((0+#tTLU9H~lZNoexf=Z^?PNYBWm$?+;9h4(B-Mj?&t z6Oh~gUm_XKOyVK+3|L+w0m>^imHJu&&8#>AH^N?n&cb$ln8P2h@bZ48$T?-H)psd8 zJMP!5C9pvbWN73}Zy`aPA^T3wgP&jGq>k7S&F%^Oo^s@#f&Be8###8F(c`>Rf0rJa z+N6%E>e2bj>=C|qD_BcZI0)FBXTiHauql?!ct;C=%6(@sx(!VU!=>$1x6On`pmDu~ zs1X>_AK-gXcJYkIU7erII5#Xp(Fl*j*Vm(;EZ3f7`&9Y1Q2dSYecKE_l#-g-W^mPL1?m=p zC_r1+VCAKvBMT+m5??P{N^)3-)vJlB2jm4nQ44HY%Gn|{dOy-^-PyuQ5Lfaq-MUX+ z{hfdZA*ZDNwpeaJ+O99CY>Vxu8tt?N^Ubc1QEROb1?VAdH5&qb;~pjuZbLEU3sf|N zdy@g8?|iRsb;`%;_dSyw=9B1#z_`f7)j||5G|abC>QLgp*h8+Jrl78V(PQqpOJDfB zR+@L%Ng?kz3HZeDNX_sgcHg3)z#O?_eZ?jCwU!?*463qhiS=E3!L;AUkdFOYFlE=TFaD{4|hK9z6nsc2<`S$JDG#ZQ&P{RO04w-~0n$G+IW^Q&$ zs0^@vd`ypS-*h?N!(F+%_g`RUW3{(PN#*#%aqF7 zXcBm!-MN_Nz36|uf=kMer5uF|_U~NSOdF+yxo@bCZXPGnA;3?19DGd|yY)Y#bqWtTUct^h;m+~E`Gz0+(FXh_bCJMNUE1kT7Z zBctTQvL_?#?PUVAQTL3ORKS#h```%)81JR^-K1t%?q4p&_*TuKq_LUVTJ-bhE!+CV zK-#5*jRRoq)wGaX$<9%o#>Z46NN7e|G{bM?Oijz90h(GGSEcvYRhT+;!Y9Sn+4)^D zm5*}o735jizX@dyfjo^x%Vs&*dORTjC`aH6CbGzQd=Fo;Z zb84NMFn-YZQRU+wg`*{^G>a^Np;)pS{U| za$#k~XdNA9gf64WJe!hu0kIiH3mS)t_D#Z&lP|6J^iKuhskpR1|G&i{m=GXX6HA9@ z43H_O459;=CDVC@XeXsU2p@%2|Kt~k0N|k#fCp6UK(SRx1ZwX0F7m&%fIkKziqCR> z3nvw3y@6?;*lMYV^vDi9m};br1A%nrMF>Wj2Pt&C_kie_?PB~7_uxxJkUM4J>mZh> z+Jh|?m{5YjnDjSql)|ANiDlY&z$uH^2cPWYrB3bVW2RNPhz}jOa4k)|Lu|5#)Ujx%)gMyQg@%#r4||-zKgA5pzZBc znfDMY+SI0Nh8U2mr|_(5+KGH8e`xPN?RjU3WjPH)ev!_8VyVCK7-|15`KZhB>s4`j zI8fYoGc}V-okak0U}d9Z#om-boWF3Pu2J;`unMx#ZvombI`Q&iu*_NfFMmB=c>aR} zWDh>)h#UByFrYiPGc!6~7ntRK-SOA0t|fM|%EMYUh1DUf-*kh-35%wF?F{wwO3(71#TO({86w6aD|6QpVrj+ zVdsadS{M_1JY_Zk%#+KjBZ7+ChdStgftZJtjTAAUaDi8<$03VJ3sZvdzcyc1eAw`P z7aQwZwdYj&7w7By7#_*?-O?l-M#BRmYJ?H>uw@**jC}mE`;u`SgPu@mJA8@_kKxBd=y_5 z-{OG5n%6FcDrLO;|ASa=_MRjZno9YQLvY)C+0#MvnSEks9+9cZ3l0bB2QBT{BkD(Z zcmQa^^+B)ohzX;@4H;|)k4R4WhKm0D`EXRhUGCV}!D1`zF6lL!F_QDx+XtiWQi8ah z({%qH7r<=L`2n~5>#sCWbNx+-W%_~2dQD&PC=IHJ{Ym~*%Ff;o{+@VdErf}&@eMC8 zDVSRQ{JqSES4oKm<{JQGzD~$H>z5}sOY12!Ql6YqP|jTW##-2L4Wnya)V5ls4*Y9 z`}N@ypnp*DaZE%s`vZ+=$%ljMNr}x+HDvt78^=BEH8QXhnzP-Bl;MNjM(ksAq zqG0jkzN?T1G@tiZuv2<1Mmb&GzFeF~hLflU_ildgqir^ALn{sL0~n z8}(E*!bTurl=|+_&fB`H+tcyDOG`d0c4SU==~bl{SM|l~6+bLtxvk%sL9l^V_|$!0 z7{@=^dFyB0*KbWvc#!pfoQ3~T{>!w$G>)!NVf0cxjvsC}NvCCGU^d`=+1i#EcHaZn zTo&7e_?z}~NT3EvZ{PX5{`4cROhm-Y{kL%P;KZn|KoTew0p$tySvZTib;P7EWP(>E z{|=>nzJ~xN8lRu4teNy{oVLa900vz;%fbs^Y~-0+9srHL?<11M2KW$CI#ayR380XN za)D6r-gOju$rRLVjgPr_K(p@Nd&ZIy!z`_c6!Okfr4fjUg3oGLD!i~_0;L92v$a-eW`{|@CppfY=EN#y602qGXR!(BRE4c z?X(rZG<*Vc&!8JU+N5TTb)4(9Ft@OHgzoZe464!&bP}38|?Vz@k*BK3P2 zH+;HERrSlcs2fMc{*$e$tyk5rj?K;eX8PYb*(dXtfPgZs3GjiCUALjnn~x8_K|@jk zkJ@%mHs@|BI(x9RlhuYl<6ueE@nYit!SWWMu;A$er=^9( zc-7`mv6V0fPTzY?_3n>8H|N^fGe_%l+?k+J$m==e#$8^2AkMh$N)A+l16`TYA?YA) zihEJaaT56zQUAgjRMc|+BZ7qw4xy$L0t<^yCwb`(!j}|dD(A}4-2yv1=M=;%iS;c! zi6FZnb^DreX9|Z07IaWpm^$Oi;Q{PE6c(n1dm{9IbZ~al(P5^k;x8?f8pq zsW{>4y*G+jW6(4r?9Q~K-rv)ATwMJpec!XYlVon?-1e`t4xjRJVD#fujxdN115*cR znVRCEznVJSP8Tr*L-IBP5a5Oc6da%tyd@5z7q$Ib3Y9LY8Y-F|pkaEbwVBd;^ z2oO63-rRIfB?!zMz$7%&!Q=G{%iH;f&uYA^pO!y!L!c+0(*2*}5^sq@$gsVpjOkav zD1-~nMz(3N+{`4jXqN<2QOHcDzx4N~1dSF#C6*W#>gJJhPnBRPF?YyZDN_>(pWsKm z7Wp6q`93Da)r@tA9AE?6UkfNpYwM}1O~56DIB?F1`es3R*C_0d@=M>7EkEP%-Agsk zikK~8QD4k;&oO$G3;yVj&5(5*UB3h=JzHH^Ylj7#LV=?C(S3{FLxFnjwcAYH<8?N>WlX zS*1vVWq>e4fT0?%8t2l5h%}oodJUe*6tKf330r+TiKZamM#puV_okyb2Os*x7Nxqx zc7V=INFB3GRVE1+&Ss?gL{@z2j4HQ{&hbtLZys1LC`lY|SpC_Wb#x2_!B~*r$hl;| zOqi+=hxqk&YVOc>sr@zndcVivW392>ir*=V`Xg2&eIqSmnUl>DR78m0lM2zyY9|}6 zgkNK^6he_+Q%A|&=2Hu<4{ChsBR~_F_7q2nYCHxLs*gV%OMZu6Dywnu9vfpUEh)BQ zaLy0Oo{8wZF8?-rU(4TZbImJd#&fI^7ox?e*Us%^RXv7t75_4jmR0sV!+CXD0pI+c zrCpjY2#8+PEV%8aH%ckTu*RzMtXT9l&UhR$|86KWMq((b0@S7coP74|87Vt1k@DNQ z1#MGvUW`0B#_Jifkd^#|K^a*va^|PX0#(ODj-72A1OFeBMJMISFyHVTIO~Y2&q0Kz z216O8N8gy3$%oU`cDxkNx9DL@&&)Ie@vVU}2Xs&VeU z5>nU+e$J30@sLC{q^U;-8%`_z9r~?`Cc<^nMlcweGw3WudnW94_)k_PM1R7-M$+FS zk?}qC{87wlQo82i7E&ZG@nl<9$KlHCA2&)NIFe@*WFeG7)grMh*s02SY3D{`pLjj{ zo6B~(KFmQ#t8N75W1~I=#_zS^J5&KR{0}M&8v5>fOAgx8cjnqP+o&*W)Z90)cKl~T za9zCDleDo2y33|Ki1uU)Mj zL`QApYhS~rw=9lSK<00Go9xl>$MckIDulJiDrI!TbPy;1gHQj2(g>bdSnlrnx-0|J#qVT=b=>bhuW;5l`KOt|y!xkmaYHu!MRJHi1PdL= z^;`b=Cc&n?HWPjYm-}wY_0M)R98W>91iaue)Q1rA4r%H=8;-nf4U!A~#i6t*)aUFdRSc9ttS^#vDB>%Gzp zC|4pdwGYR&*v&mya1_sd-c7~D#vA_3c7*TvT;l%aC65d<7L5;jY<*t`!C!Vt)^)*2 zi;QN@O++5?PDS12F1B7?DjkF~Rn*zBQzs))_T2YX5$#FBXQ3ZQOhS^s<4Jmn@1GcU z^^XeFVy{uU<>y2_opi_&E9!TJb2^D^Q=DKWD=e6s-v7Vf9$y`Ra(E5s(Z+u-!< zaqdM6>q{33f-e{5i};G+f4!g)bfgfH!bDvqxy;zw$s*vd=Cj!zN&`mm4+SP1v=cs4 zJvM>eUu+Xg5}qRSeHCtIh38aXX( zYpXjw--CZ()0e*D&0D^jM4GpGOIB9eE!E1(H1#fEqLfCSanz_`>TO|fV*`;)zfb!hhae&%V&dcL;zlE* zWJ8bv>A8j~hX-jbVT<%K2>%v@56?5$$V$RS7Ga_u<@eiRx<5V|=JVKo{Nj3W5zTc+ zl!(puUj^`fMsx-pc53lw*e(msdS=x(Cvv*=njQv6!K!up{f~X!_uK@(QxG)EEsz;K zLyT`8Qf2uwMEevSwRRKVoPPz2ELCk`_%-3vgfLNP zI=I3Y)&;)7$W!f;IbnBJ>0}UMpH08Pp;E%m`F}(eux`RVqoY#BU~9bgBSG;4{OC7y zhIXF*^5&+9GNOUI-NdAw@Xdd2w0*r_R9yV3yt?IwQ5!i%QK#WLeY?w22{LCncC_CS z@EbPGPa{38^K|vYov9^OF(`X|wd5K@feVAWy;W)kPApg2MGd{n9U`+D*1Y@TmR#m~Ig2Afuu(xrj{%2MQ4+0fAY&$b(M2 z-_p2HoudC_eLFbK$o?`mUBe}9zt6!#pDZw_?!HdC#HDAQ_R@8ut$&{EfBU$IRK}1~ zvP?`&w0&%0U|=u}MlL9&O1oPu%P2nMHx~mx z?JdCwVIdn$d{B$DlDfl%zPr!0Egs*TQ6OA_K^ExKd5738LGHTw_b7S@<_gkEO9y_UyCo#?zgA*0!L+i_ z1phPq$b~#X8u7)KoK6R^at~olWPfguGNiKAeR(^>iLtU6-<(WxmJ%hz&ee#Ha%Rn| z+$^tcicc;#%%|#?16>x)vHGm(xMcgb_FUl@K_*UDxMT^*C)icAR=-WflSrv4cd_IA z-cDIGCbfO$EKJE%N9fSH!v=@r-r<|;>Q0POR+4WzT!UX+7`Yh7Bq2%{or)kc3q^PN z{G2b&9#j2(Nk`B&(55ZN%32N*8iBJpxzrdHQjM_z{8m|;m6Z#X4l^!b0E^)F<3f@l zC~!CIh+$WWNzaxH3(J1~c|9|)1A-(vit>jgK4@_Brl4ro-XX4+uD4e--+!gN(X=XX zfiJ>jn!oI4u^VGuJ(Uy;q18xB?JdiaGJ39)%U>2NM-4%G7jS{mMpTi7It09X$V+jT z@)VTrhkqWPQN>OLv#SrEq>t$dM#hz&c$Am&a4t{t6>5A@2jN=8hzv)|XrTgy)B}P~ zvm6Qg&o@2df2h~c-l$uQCM53m1; zDnsv46S=-R&h%n;GNvy_N34Ec2CDThV6~n`XW_?)1S6-*R3s8hUWXRC5HlxoS(c?n zh~X9P;T`=^b4o=Rte&SN^7U&)gxIl;#K23G7=C33C(zb0I?Jveq~2*Z!`i-ePs|}6 zqKPq8is7J+^RWwzl5+R%(c;wgzR{e8_8`_cZ$J1FWUAJy>w;zoOxD`WZ%2gWqe-*h zbXIms*!mA2E$KfyWCUHKFcBKZ?p;3S8g=~Z@#lkm!cvioQAp!^#8Pv0=P5jQH-(iE z!O`OVdvF73Uf#0MUd@FR^SCKEklPf+TkH^a&*uZ77a<3^jx{T<(0!W{D@EfoFIi&I zQ4T*sNs{=n={hY&Y)0TY6 z-)=^{giJ4A2l2=KM!B|SG3qOKrT-yCcq;E+=%dq}OgYPlipG=U&HO5W16q# zVPN@R?YW%JDC3PM@e1Od-J!xsE1xf8r+)qp1P!~k6!DA7@jRFy^aMP}E^z%aS5FVW z_xR0l79_T}%C1qOzRUDmn}FlO_ba9r?>nNk-&}h?^6+h$O5FHtPo}w*Ro&|*b;rh= z_@GvG>3w4t?E0Wt>chbT6CQTlbjOP(a*U@$RoAxzl`ejBwOcOE9(HHu)I8iUwIx%2z&h=&>Dk1WT6F}sR0M#@bxx>tNB zo{sxv=3rw{9xnYHnM131T!p!wf&QrH6)0cHVv) za#Lr+W^VUB4^I{k@M*< zs7=C0$GmejWBV`L1%-_Ks(&)IT>WsfGUEOjm>a(bKyNZ&a`tn2rtEu^5kG5Bh6?6gAh(x zoeaXi$(Hi*9+BcapWW}uzl5T`MV!X*!umK zNa53c8>;h5Lh20NUb&{<`C^}}a1b)$gS{E)-w#lKvwJH{RDLjS)2|^BMZs#uY+h@x z;DB`6^awq8D8%I>ymk!E*sM%mLo0vuObtBR&Sxu6v&zYX$A7Gyst-d$rigccsDj{c zuu~PnkgpYf?a_t|K4I;evX*9UTTZY0Rn3=}|4D7r6=TTg=^tHGgw!@FHr5Z+xWGy| z3QcE&@E3UvNUpQ#qr2Y;?u+5y{+aTwdr|WFGM{qU{yHh%{b@(+p|78gdjqtXc z7$er~xg=FDixZjNw)v{@tv^jekfuHjX|571vJk1&9uyb94tlk|PE`e5N7ReiFA!D9ZITpl90T-JS+iekn8%K5&5(AnpjNk4btx1rQC4oX&h1+N<85F=_l&C zO*fn4kXD9y5fKrxAp}^gEm@2b<`|ssIg2< z9;Pd`EO_3ZNB=CrLr4q92emJCK z%*@PnQrS3oaevA5q2VcbY9{fV2Bp*Jd!W?FHpD*E6qk`oJigHr1 zF(#h-nRj22r8VJU!-`Plle$NL3T`75StvX<`m{}ljmz$L6t~E2qUJ~exg)Gs<*KBE zF;vX&{yjiuoOLZ&c)QNoSq2+z?5}txE$={oz+s~K>Khv#E=Mx`PZ+4X$V{=)5Of4q z^gJ8(sELzwEh?{8T(;Bk1+Z?|kWiL_03%FxTqGeOsa&{&prG)9d2+%4fb`z7uQCol z^_+vap8}INR!QZkQW=CV;S^2^KRj#pXYggrp`U2pFwtR`D)z4SNq}LrDHdXk`j@1C zdsb%P6}YLHB_!zfcQypiW@xmWr(nUAOS0hZ`xbpy+8l6cuc|Aj?LgwJ!>RxlkZ*W} z#@dUph?i!j`7dbb?$c8%9RYXc<=26#aN1?@du`;v-AqahKwX1dF7L}P!+HUPIbNt! zIZa_Z9gDRpNg|_wdjBd;Nm&_$gTf)FVFq1ECMG74n*M=-yDBLXG_$j_YzZ&L-l3BC zV+!T5wihl`y5>+27DmYARfU;XwuYB5Q9I?dV84hxS<%K;(LC}P(SOKImbO?mVmb4- z2Pk|y^N#?%`)CA4PJVLjmF%EWN?|avT`9%XUJg=*2b``OVOQs8eFMUcoE-lb*Av1b zlBt@gFl8D!HFb^X?&UtB+)&ZRY{@3EJd1FY?(>umC4@E^hOk;uK~sQAC=yx6hNR8n zz0XQYDKlZG2JpY?gDD59J;lF5ZfEh8rM{s-XGDi^Y=jji36a1G2P$0)b^2Z8utNJU zj5|CtODY0?7y%GkEPdU#Ntn^xiE95srPrSu%c2%R-<933@M=6(o4=GOPL?-%S^okc z>;iy$fSkqkI0?X@l1Oy*^yvR4>m(|yP}-(IWEUE<#ppL+YMabB2+oK+JnVHaa;4<8 z7*WY`<>R1e?+(aA6C1dI^i&ZgQsXsnJ7 zjevl_KnLNC#E>m+4bC_i2Q?E9hDF-_>S9Wu!t}iHeqAUZ)kRnbpp>PaHhc$TZ?^Ei zY)igB{=LrrBuC@yf!s#a2k(>YWl_}4x184!yJt$XQ8=9>D=k*_-Ah&ugwiKPFa)`9 z6v`WKAsgvNxYxJ2{RzJRb3VYXLJxF!z}ct>n5^X`_p=Zp@?eXUBPm2Cd(y;U_0Fb| z-FMAWj%v#*I%nY9$uWS(0T3+eyfpggF^Mj)_+Z*11Ri>+Z)#$`etqacAXY(v7>~!p zlyL~S3?opxoVP+C5WnvGmVMk@0_Wi=`KVk=KB@wa=nkUF-1bwIruPp=s;ct2fOxJo zBJbBj5*`P{<7FCZ73)7FWmTj)?_j#S?zp#SPf^{`o0jOjj1?LH=EvUzr7;neJsUu) z2zVDXL_`1@i&8kr%gbB1ys{z#B}iQ`(u(P5UD)*L543j${qLC?{a!3RISPZs3JO8z z2}n30amdolH0(-~;f5z%dUP&p-MaM&#~^n}6)fzvT-Z$y4++r0&;dw>&$1V#lE`;V z(5z!h!WM9*>&nA&;dCu!2iq%?IuEBp@#b^=Jy$}y$S@3Q-v~(0kAvd4CweoDQJ8l# z-VQB?HgFdKY6q0K6iI&8S=`koW_+3e5{3@xVq-B7D5;9F3@hF52psjx=G zr#M{&pP~IpgMceR@I7g3YfFG2)74X@zV~Q9LSUe^a4A72-M6}5fDH#oP5Yenx9?rr zu`1x`qpZ>t+GW6adqqE{Rp%4AQeCXbV4+|Yheu&J;}S(4T?TM9E?@f+_O_PR8)gfk z?syEeRt*zgSrov$COFFklUg65>k%!@&A_pU3TJ_m>S0~LtD>S_4or7!mVqoac|+r) z%zJK)T~DS3_xjJP>Z~8r?JOJb$k4f!MQ=o-J3Y&dx`3%PaE|Ados1b~OEn8aojG&H zCo?lsN9ObJ@C&OLdNe`@>RbxU`+o|bIXQW1mgG%|#r)q`reQqyTu&D@P|TW(N#Mn88)SnvWed=$_xQDAob9H5x;jp*L8RUUBu;GoFZla*0c z)Jc|v4+^fDZbt4CmLHpvVsopPuRzW{_^zv~7})qbW}nb}&t2y#cO>MKm#e%iEweRo z_!iAK_GqlZ1@+n{lbv(s6K2zwo zD`3Y~)&&U(7j5z8fLBD_d4iMGeg9M5BI+<22QR$`8i>AL(OJhU zzuhH`0J+RMkizR2yMUf9Qu6EcZ%p5FlVate2Ua?E5?gea%wsq>f9T{@Abig#sXj(Kg5pRUrA zdm(Dum?G(Z&L&9@x=E`;aD^P;Vf&x49Lc34{jJK&{DT;R6MNF_Oj5#m5L7cZo#MAy zW9#*_;?ALu`$p@^^agY7=yt3@ru`=STq>i{w>54m%(nq!=O&Gh|8W6aTwMMhw)|JV zXJ3M@uqT3%khk+xLxaJAXHF?#lpSf}~$vpl0`z1nSVatwaTI&>_?Py=zNSLD+CKV9`- zC2?=Oy(8aki;mg;i0`b z11AXG7*}7E7%k-U*YlqZGTcbLOU>#+{dRHG_NQZj8RPY)D2)I<7MzBL#*d|?2vAv! z^j#U?3PoMM?)bJ3->gOOw5vnDr_S3eVTCMKjn%$8Z>>^$s5ny+8U!iQRiU&$y&Jtp zetba+Rg7=k5&h16=>2$2g_~hy(7(iXsA4@V0;L8#=3RBG9p$yr$j~zSa#?Fx> zGDv|h`sbP&9e>%dYo#owk%x;B{k#cK&S!hgK^l zrEhU9YtFIHbEjx`isc0}sBV*59p} z^*a!ehcffRQ?CUB#7U8w5&=gF{R*d6%1(4k0ERrqjL+eG`*-x3oeC)ykb(||Xrum% z6QgzV1b`=M&h6##glQ)shijhFJ%NHjcp5>|M1(NBpXRJV*kVUum6+-{HZ60pcz(igP5BrV1ml>(Jx;o6QZyRoa@i0WH+;nk=Do6acNhy#v9U!GpcS-<25FF7HJ17g9pk z(eLbo9Mq1)G_SejmefU8&BJ4+>N{pRXe=~<-QdNOv4qQ>;m!$6z8qK~D5NuQn3(4= zt3u*b^wsO7gj@qjTjyHn+-N~9|8uC&6I(3d~1Ddci>>R?vah{=t@QhwCrI_7t zPm}yIzf%~>t!Y^FQK`Et4?~Jm-Iz@@-_1hWQT^S2UL+h`$U&29c6V}0_yc}Ae=?4e zOxP#Yl(loHgU~< zjXO0v6(G{gb(BIw5^ro%s}z+~*I`j)L{ez{lH)$V@)V6wLgVicSrt1a!I=jsM%lyz zRhVwO@RLK3RhqUXK3kb=GWrtB0kb|O6)az43D5O5#d2u!!7YVm=?RE6(|e<{=x#p} zz%UmK@j>H#Yi!?h?gcb*s(+VW2)LLqvTPtK{pql3(rxwExQ=M9(x2CN@CxqUS2V2- z;bQeK=n6)uw!SMWjW+v#VqS9a$A0j4jE8$QKWYvh+|9uEIWb_9U$U&j z^$danBN$%XY3Wh1c}~LNgdq1@z?<(Wc++EJum9|%e(6RHV9I_c`o84ujg22ej%d$|+{`mRxCmH_NXaBPUCAN%TzI>re z!Q+v1(q8wMcllF8=|#gSOR79Pi5O$9;1y!DJ1;*z+$k}?p6VA6v;VlN@}dph{t25n zacR*AcvFZ7#*eqvsR5Unxb3!crb1DelJC6gsL+WwV(^Body1PJc+dWAJR_1)~2{iKV5ec#6(zMj?y$F8vX43;w^U~uVVXB5%-f;RhQA+X*4*y(} zYJ0x0^R5n9r6C*M;9^G~IYyqhH~)^eX%g(|Yv>G2O$khIKFlBw+u+^*G3SRwl<1*jQk zO_qPu=5kYLfOlUq!Geady@Nx(StkQwe;z|7I>5gG6EK;b$>e+bZK6g%CP+>FVMK8s zLDI1NnYT1EWr5M=+nTl51~-U9@bmL0D={REM2hV4(!H<-H6?)BtUfMTayxY2r_j=O zfB9~TUl}9BN+`N!(C&g(s-aF>Vw?eJtkyV(7P<*q@kXDa%u?8& z6-8+{3^c_i&mpe2rH}wD^O(&xlv{uWmuS=SeN~qb4Ss1*sfGsQp{IU@n_K0y?7tuh z{Epx+ZCPh3vkq^_BN`eS@(Y^3O9G=|kjz_r=$GlY_y>zwW*#^G?pp4M3&qdketzQy zhK^2Qe?Gy8hbIe6wF|1ft)!anC%(^!bB%*0@+)-&O$>UdK*5|)#$4g$y+F8(@K$)f zNh@{jk}KpN&Wqn0!Z|>dcX?&Nm*1$#e&SmE&yWs>JFI;(mvOWIJa)p;4P8K^_~O!b&%X8zXKE$-NLFG1b*upHqW z%Ov*7tKVH?OO#T;8uUg@v8Q353dF!~oMU2fUK9rtu5T)iPbaDKiVw9`^z?Ck93 zSBc>{6G``)PT9{?QT^z1~jVIC00kV_|*wxCS-%xBql zN$vY46PATej}-@0s17s+l%$eBJaKbN@|@Fg`CB^k|FP8MAbjrw8f_FHx`lVd+EbyY zNaGe>1_S{kU<#~pLDs2YFfYs+BJ^+XtLU}Di~3iK*YPO3w-vQb!}lQJ5lK5tb_`8U zIMeW{W-bU>vy!tw@q0ZOKk7L$D8X_~h4b#{C((&pBgr8E#;3#Ito~AE z_L-6L`v^r-YdQD;ZhfiaIyr`S1Dc4KSVFmQr_`R?Bs>9&aoyRTkal0MCqzU~NlBtk z#_b!~p?)Q)@II^Z$Lxly$jlg8iUA>4IG7rI<2ucgkvCMPh@Mb2D zIVly-YLKOtH!t>)c;eqi1hRACjyKf$uxopeBRBy}I;jBP4!f4TbVjqC(#;ypK7?Ru37a)B#EqOy`HFUwge+cpPH{d=`jjWS z#*UL~6LEVNqrEirlVQ>v|2BVCWSaNxCvuEg=bf`sJk>N&iOs=d!11hYf~w>u)^Ugg zl7JTFd;GoTPRK_Mx4=@K88@1aHl@MY{MmUWpVtw_BE8sm_Mv^o55@R*ejKsO0$Aui zObyhxDbBE11|*g}Ic}(MnM6q&hfg#qGk5Ld3$uiKf*pu@!16D zwx<+kd0X{ya7tY5nLfSYH)*q4?^*^P7a&i+5V-EJ+t4aM!0OGeVuae%jrCP9CR6PY zcoO!d)n(o}!cGWVBqezE?8U2wO+_9JXSj7fsw~^BsD5saN)|JI3I+6ofx_1W#5;|Q zgcpx$4&BI#CzG}%7ZBofb$98gZNbsq&z1~*bFaAcw)-V^F8dEIGjyB$G#0Xizm+$+ zOe#{DZwM{0l~hSLy!_HAalD^Q_PiIZk`gnX>@ttw;Nrs1-=}Q{GkwN-iS-CZcd|R; zMdQT4tE+@Vgdc2PekX)rAh#<|z33B9 z%FA$k`HmI*o>sM|HTRpQ^&?#qH(M47I3#8S;617uWkPEKJzwkn0K`jH|wsIS_2ayxT< zmr^31maR=68CeRSk6^+&JC@~#_c?e_Y}-O41b^kH8JX%N?Q^iMSAnZbKs?YApEpY9(6vmj0`$1c-eSYf!w z>B_mWJH^fXh`0s$j1S@7jd& z7_5=AN%g#`@)P{*C~z;v+q}?f7-9SlS6fOXNaStcw~%ZvqnNHy5sA8d;Q#HbU_K$z z8oxr7dsOsI^OlFBzFfBM|0H1V#aYofc>7`$6C8g@>v{GEpDk;-EPd{*vb`hUdm~G@ zea}MUK5DOq(+}NzG^QrEJx|XPOdQC>k<&X@V*;c%=W)XvgHE8!u~kpk--gz0#^M9< zmzh_m^ID%@p?kLN8Zw|}Dm76b({yzpmLFmDHQ*M{*RZIzofZ?;D5Zt#c=Le|Pr^}W z&f&w6wI8$)#Z?|gYZt2tUf#sF?;j-!Zd#}Ay%m`81QrD>OMbJFzxNLM8huDY#Eoy+mkQ%6 zUYuptlJA(YWqyMHO`KYF!t8z7dlro>JwifsVFrifcS4W^6cd*6scnADdgrT42C5)E z+Ke>8@&dEfopa!nsU@CJoJ{3wW!}!7s(RAhfxDB`=4kNQ^w7`Y{ME`mRkD+*+@0b! zePioib8D07>QjJn_2TVLn^fUw4Dfw2yvB-Kwq$5eG9gqR_TSI4C(rH#C{l* ze)VQN<;T|LS9Vm`^*>z?>98zkNCC)U!R3-+w3j3bW<$pA;DgF$_ew3e^HS*xPljz8 zFeL}ODmN|bw;0uPI#D^P6TYOdUy*`5T-kI*C*<&bg$9D(ZllF$ty+E>hX)7LtV`t^ z%Q{IEL3y(FbX!58QQ)?8cyi0IzgL9cAHVj&XO^5AG8xYFFqV4}@O&92CZ7m^R;Z4) zC4izeMlj$#1*UBjJ*~CcmH{iXG-6FY(-PY59F@(hUqslauUhA*_9Q8&4^$m%$&29E zIJq!B>>K3_Z62`wHs%arqloIIUfgu`MTa96Fx=L}635UV`94>+pUzfKS`j^E19$xD z5py}Yuhx9+;O|&(zET|OaxN>b{?)6a?}Z|N!;6F`x;R8dL1C+`Sp4Z;uH zh3oPr96A0&8KEPq9gG*Ykez44C91mlSLailWaJ|mD$ZedJ$w&;h%9Se5ZLVg9rC#7 zBl!Za!C3}v5}(H&?^AEh1>xuGinW@qOe%KU*Ut!46`== z4v!Fg6nucshvSf(!u8w13sN^BjquH1WrbmyLFIl}Y6%3z(=yA)9Nq@7Dhszi8`O^N zG)d}JL_4y+M*?k1dOQeeay$0FU-M@UiAc_!+KO0{kXbH^j7I&&b#1PO{jiI@60{fy#5Ik3JRy+HLR_F$^=c=_fKeN@4t0cEfQ|c% zNfZ0R=QLoa(qt#^?gQIALrmd-?*8y_<;XgHkIce9GjGMME?=9Lt1vbo z?**yMwc}P_Pr(m+Sm~ubk8ODkS~pj$W$qz_?;K!f_;c3OpVP+xAq%^@yJ+eVznR30 zOQbU%n#em@V+)Rj50g_>F}=L1XJ#KMY~kw~l?|&WmT4?^TE0kBbrDm`lql-`?8oH9 z3#rBbud1hjYBKs>bV%12C6nQ(2}oFgl)y+89HM}Pgop}~N{5WxXb=$T34$OcQc{kP zP)Zb}86hIwBZU7O{(t{CXJ_Z^ec#^qzT5Y{d++0eV=gYHI6@HYI3x{}5(%cy|KnLh zl6vyaq@TGdoNKW*GQ)WOt8FMmg_fx=pmkPB{cF&J5o~g8gtYUMGuo7ushYsb4QPJ* zj#N_rYDWSYANxL_Q+=u2ROZTMkk>ny@~~6KPq-dJdMIV}qaV2pX0_M6fRLlrMyjIv zA3PwoDnGB2gan6Jx;@SZ>xyS6FJIYOw;i|6yB@&tNQ@f61y%>*)R!94)cZL7Tjs~a zK>M#$j!q${PuLHUXp<6~MX532i*$BdQ**9yXo$*Bjcd=I8z0fg+6cR_b^KY7u;DjO zs`c$Ndd+JB9J}qiMP=8z9y%f$jWOBwS3h?UFLM-kr<4;%>* z34to3XrO?@eyFwZT=wtYc{eRobWTtk%?gn;LgEenFuxn}{`S!4ye90?=Yex}p*&wT z8F2()&y?<@2~HNM#q+}x0Clw)$z_+7{a(2fUO)aS4GmcTr)eHYrE9q`#2(8UGb8q` zXP_y*P7wAcdUXkB>#JDTbFff7F$MT3^9T|Fe@>b^$g2I4kf~W5W(5#5 zr2@3N@F1?g1Ek&z4eIbAL{8|7u&J>6SiPlK5hciOC)?QSqvQ`~h6hN%-n`c3HQ~Uq zjGV8(pP{xxLlQ-IcYJ#FfoKm7E*uijXm}0yV9H=d;!a+d$(0a6HWG|691)f7yXS(& zhbGC4<$uRUN3!@eJmoR3_gnCeS4W?5`+bwx3aYVCE=K`^%&^=pMDBQ_@ny z4S_#ZD%ZYs!`gXahts{8ha@n59 zdFpXO-4~~P7cLs%Ws8poJ8eH&Z4zSyk&s!C5dM3fM91Rj7}TDyP)F=*<+3fXgCGzH z!3b`ux98)09VdIF><6ukMY8HZqmB*%_=zl z1(UsPZ(?~9cv=$1a%0+=LHG8ORj?=m^sA=OaG?C{Lt?uMkjAh6j^tFgKC?>5A%var z8$(|1I)D9?fQp3^Dn)9K8o$zRG`wweCTxk~7hgBo=jg8$vzill(jw(k?Q}}g8!2oP zD~N;#zFV#jG=ef?v{3sOb(I+6#iVWi3}B(hO4%5uC>E%Q2}p)4k6sGBmHe~Dj#-{$BV0$JASOUTWEav!UF4SQmO;guFMu52 zqMtc&GFU-CNp6#Ob`T&F9Y_|=zotZ-VLu+(X~cU$ zq(?RzZQ4EDaFI&-i4nOH z$i^AK`Ja*)a-9=(9+r6+9|tZ$`!%8HD-;bQQUT7v`FP^3eAH3VKsIi<>S7lgak9i| zBML4-_I=K8N)?9=5?Fnrak4qisq17nA!hq@uD*@nG#eXp>0DV3$dGBwJX1$5^Wh z#xAT2^{Gufe@>1ki*&xrdv$BQwRDZ1*!qSJ1W$zV29NjJfZz@areMe{0Gz?<`Jm)S zak<5;w7GgL*kx42BKgC^tm0?KmX=mBQiVJsglTQT-6X9MdwZ;ZW|%927Eq7GBZZ2C zmB6U0YwXmPBti=|dKf-5G%RgTb_(j4PTdCH5OMdbII%UC35TRY_byJEV!j((7@8d5 zH%7B|ouFusk64*vge(5Gf6Y!nON;0ejoGg0+px{kQfw+&P~GFBdwm#e>m#g_#vA*c z1h4|B)Pf?FOxc%Zj?v;sdcS~xD)&RGvD({~KUYYsp&`ym_P9W45Pl22TanY*X%b1B z^o6Y`tmh9tjug%&06}CZX~F3D@vlS=+pZ07lNe;E8;GnBOWqI8mjEo(hnrr5ZJ#$| zZkP{*^EWhMPf&`1ku>>*RxMHb9=l{0=-gPkxl!eE(2rtm)*uO+@=8dwHiTI6=nE&A z1}|HhVeI1eJ|FLEYT_-Q?IPDWP2V+zZOW#lV^G6r<0h8X<9THqtadjXS-Iha^)c1P z9NPHyl@FaO?H#JB1g&G3@A{`dEuyZ>IBeRPJ@yJ?vTRRz25vuvb>xM7k_YafdD=;O*<%nAtX8Ht+8=vnIm6fslwAg#} zTg#d+uN&^S3G4xNd(5Q%(0o>|@|A-c9Ey!`dfVlC9NQeWFu-Cw{-pWGt{t{E*Lxdb zG4-pH{LVk3OJA<@mZJ64BW}?9v^pLb3T? z_KF_nEA-SVtZ#P8->9s}YOw~{^%`6_<=^nv99Ew0+O#fm>2lp0MKq>!hat7E`(^%G z^{aKPe+Wc{6-|?_=pRtA<@Q0zE5e(FZ?bFj!N}u}ks-V?Hx2!nk|gdCgNMRpP0RxO za=RzU?eQpiFGl6%18NW_lJaS$B|{*pFl4WoKH!E@hdR>hNfS{0aQKpnb#Csv<<=!Y zr$jAbf39Q4*f+J&Dh5r%G`p>O!1*n!L45J@8s7L8FVVFV8vZuM&n0vmLpr0KkQsuJVR0I5h+qCxs40F ztk2&JyF(7)bXb1I)nA45-S=wI-g_4my!Ij6h>A%ESB6t54gkU?c@1t%NyYtHTa(Lq zO8!)~U8ybY`Jyij`7{T6tz|vPw9IfaM{r9^+ju2QwfENK>}Z2UYZUyx<9O7$asTMZ z6d8dFb|?CaOrmcW7+QPYJ;f<$hNouWvUK>Pkp1;lB-pt8G|=~k^rbys+Hz7bf=8Yi z_gZr*JP5)V8QmK5vs>Dt$HHf8paHoXua_AS5p-Qb^I;W?2&FsTVnwDt**M(I!Uqbx z=I4SzJb64kR>`-g!13P3(tOm|65sEsrGR?Aba0i@D}KQ=F>`6Yh`|$yG-@zN~Zzv`D5qY1m#YF3Xzx&jywfF)s==@uKXCm z=P0kug_C@#B!`E-1vXW3Wcgg(hDEW~lbH!h>K!yD%e);kf)d&42@4qwKHYbQ1 zV|N%ht-3}GzNTJc=!=h+-kdL%o+~Jp@@s6?#hrf)s|Q8lBPEvH&^@_b7I;wi?zUzF z*xMG3SAlXyO~kN|uo)=Y0|Gyp2FJ!KsF5iP z2_R;%1_vQg2FIQAAXeJkSRvB#)?6&|qG`y@WH=Ojs-c!HLSSEm)(}mJuN&~R;|imm zQ$#C)Uoh%aa7yG2O1sg6LPj)dd7%4ywi1GP$Wf`O zL)r#N66trXSZ2RU!5Abf+C-#I_DdJotrJ-;Nut=5F=eh?yIvkHPzT)g0H7CB8*Yk` zZFN|E)+cAgK2$0&Y-sbgy-$;8_)UPskvn;W;?Ln{@v+ zhiFvBRSJ(hotA;iu884vbYdDD5o=@52-DLG=&h~ApSw*gKdU@1yua)dT0Y-zy;*5j zqHvDsTH?7TY;btY6-Pf?k&ds)WUnF6>BWX#_5; zS7?UYbY|V(0r5CEh&p-SefKUEMT~slJ#06D@;`7Y7BRM0McV;S7opmapz6$)I#;+v8iUj#NsBU z$H%{>;s8QdJWb&zS#agNO(86;WIFcM&acUo194qk+z6Y!)DnA~m#)e|1ywZV+RfQ{lXK!~*7~y+C!2PQ5De1W{#ZsOfFds$VD{;5< zrR=ug**^cbLbG{&)0O3n632`v)=iLRPBX19 z>F(F=aZN7`hVT3C-83?d`1b7tMM?XK$>Z@;B2I*SN_#Tm;Ga{bd39mel8PrD|Gji^ zq$&VN(kkCsiKS8h=b?qsE7Om7htd_f_UqA45r9>}5uksVM^K0C2V)ylnw+DkLyP}@hX+fr-y;vWN;f?@eZ>d;$Gn14XtS%@<~V|#g0)_7|gGh#(hhQMVSMU zL(+jAKG(&qIcP^$py^m?+;v`9Ji$RfC!oIR;-JEYG3YZ9gnIX-A;?t_kCM&4 zwLneXq^$K-LfKkK=V~SuZgTi6rdD2vzVB_z0`K%(-&tLs6DQdCp=VICkTY3Xv`j3a z1`?r2}~{8^w$rp5jhkQ!BGUH7UvPaTXF!Z{ah%T z93|+rRl`dWpqqEi&K{wsdN^X0T^QIy2oj!_D6)wjptwnTcJJ@&!R`+ZiXUa>U`zh7TSnK8SVn^-R2ZC=72!xDvDPNbN?@w!| zUU_y3L@t~TRL@c3FoyHRBw#{0j6Fv8Ey_Qvc1M8L5tAU=@z8qy%70J!`S?Z7$_|$!AueF zE6wN#xZIyKh2$WRUu`iYGj8$go$<>HG8~}UP4lGCwG#Ul`s~8H!9eQ`3dyHbI<#yL zS3Hv#9IR$gK8y>mb-(5Y3<;-+PsJ3r?ug=3^PF)`&C730zF-TiMxWWZG%-WWwnKYY z;hW(q*UDtG_v;@DPcL?-Pt6nzVEr3_!T*sS)LsbSHJsEjSx!g3eqc!Xl|7EEL8j>Z zQKtf(&vq%Y{~U-G(CxIx;KIpHkx^N1sB~Oj*0)xP0W`rp~5py;l`j#eytWPM zt!FDAT(RIk$@u4m2;fOJWh)3&GxGCGXKk%lvTXNmq({63&H-rgLXq-c2Vz73FFu%Q z4~a}M@_hd{_w+l3!7>>H_1^aDylhCrffs9N)PBhO*R405_aVBE+v1198_L$EKS!o4 z&$I`IKJ?w-)*BvLUD#nD9xee;(C$ruwkeYV+F-lj7OMdHCEvw&Kjy@(K8Ew%uF0A+ zWxShGG1WQ0czXrH|Ngf8j*ufu&A2x1v!6(7huGM%yGzllvhnuv`GLW| zy1<|;-An5^s{{mVP#I-i2ffs(^e@E?CCD!g{&d+qbk{r3OJgb;3|fBA`%3R)*4zvE zQ@ZGht`K><4?)8C&B2C^{C#g55b&?DkDAzFKLU0I z={+Iu&(_&C`Wbtx*9vf4d2T-lbw3%a^^5pb5Z>dlucjbt?RP2m=v`(tM^{v4p=J60 znqX6^*30en7nc_&2K<6+LAJL(K9KFFA4!DhD)PVUoUe4uYP;oi@1DXjC8|=}a3F&t|Qgl_vfn*_pF{kb8ju+FJ)z^QhdmLnI#MItj>NP;` zZ~5^we7G87SIC5&z4lKvhI~1S(v^MrW|LYp};i~bG!;skN}rmfbgNF5>a&!fvA{2tuO zd1g{;de6qTL4$wl`(0wI`q7k&RUc4ZtG_sQXSZgbaAxL+#<4i%#-YAA7y2gG9fIIJ!b6Zi@4>FzB>kkU`sEg? zKf*}L3Tzu^j?D9*zXGy!dd-fQu+Hdz^b>i6jz%_**ZP)g0qr;W@Y7G()n~TUh6`-5 z(a}d&{rEB2OIMqwQf@9qP6#S?sKs*MQs9g>S77t;`L|HjGV)KWwrj9dAMFagsMj*l zbV`?xP)80#_sWZ&5<7D)1_=6>iqd(GbrNLqKd1y{ZnjuQh@GNQKfC?ng8Ex1Z?`@4 zzo%RQg*Qx>(+44*bTV{!@;Umod$6BQzvLCX|C+8yLysQ&l)Ct~W30O;i_*>vd)mx{ zj=)&*+9yLwA)1ozzsG})Je;l_zf{eepA|K}zH(7^OR(^1Bn + +#define INT_PIN 2 + +#define SLAVE_ADDRESS 0x09 + +size_t i2c_write(uint8_t address, void *data, size_t size); +size_t i2c_read(uint8_t address, void *data, size_t size); +void slave_interrupt(void); + +volatile bool new_data = false; + +void setup() +{ + Wire.begin(); + Serial.begin(9600); + attachInterrupt(digitalPinToInterrupt(INT_PIN), slave_interrupt, RISING); +} + +void loop() +{ + uint8_t dip_sw; + + if (new_data) + { + i2c_read(SLAVE_ADDRESS, &dip_sw, sizeof(dip_sw)); + Serial.println(dip_sw); + new_data = false; + } +} + +size_t i2c_write(uint8_t address, void *data, size_t size) +{ + int error_code; + size_t bytes_written; + + Wire.beginTransmission(address); + bytes_written = Wire.write((uint8_t *) data, size); + error_code = Wire.endTransmission(); + + return error_code ? 0: bytes_written; +} + +size_t i2c_read(uint8_t address, void *data, size_t size) +{ + size_t bytes_received = Wire.requestFrom(address, size); + + if (bytes_received == size) + { + for (size_t i = 0; i < size; i++) + { + ((uint8_t *) data)[i] = Wire.read(); + } + } + + return bytes_received; +} + +void slave_interrupt(void) +{ + new_data = true; +} \ No newline at end of file diff --git a/Examples/I2C_interrupt_to_master/I2C_interrupt_to_master_slave/I2C_interrupt_to_master_slave.ino b/Examples/I2C_interrupt_to_master/I2C_interrupt_to_master_slave/I2C_interrupt_to_master_slave.ino new file mode 100644 index 0000000..4beb9d5 --- /dev/null +++ b/Examples/I2C_interrupt_to_master/I2C_interrupt_to_master_slave/I2C_interrupt_to_master_slave.ino @@ -0,0 +1,61 @@ +#include + +#define MASTER_INT_PIN A2 +#define INT_PIN 3 +#define SW0_PIN 7 +#define SW1_PIN 6 +#define SW2_PIN 5 +#define SW3_PIN 4 + +#define SLAVE_ADDRESS 0x09 + +void i2c_send_handler(void); +void button_handler(void); + +volatile uint8_t dip_sw = 0; +volatile bool new_data = false; + +void setup() +{ + pinMode(MASTER_INT_PIN, OUTPUT); + digitalWrite(MASTER_INT_PIN, LOW); + pinMode(INT_PIN, INPUT_PULLUP); + pinMode(SW0_PIN, INPUT_PULLUP); + pinMode(SW1_PIN, INPUT_PULLUP); + pinMode(SW2_PIN, INPUT_PULLUP); + pinMode(SW3_PIN, INPUT_PULLUP); + + attachInterrupt(digitalPinToInterrupt(INT_PIN), button_handler, FALLING); + + Wire.begin(SLAVE_ADDRESS); + Wire.onRequest(i2c_send_handler); + + Serial.begin(9600); +} + +void loop() +{ + uint8_t tmp = digitalRead(SW0_PIN) | + (digitalRead(SW1_PIN) << 1) | + (digitalRead(SW2_PIN) << 2) | + (digitalRead(SW3_PIN) << 3); + dip_sw = tmp; + if (new_data) + { + new_data = false; + digitalWrite(MASTER_INT_PIN, HIGH); + delayMicroseconds(5); + digitalWrite(MASTER_INT_PIN, LOW); + delayMicroseconds(5); + } +} + +void i2c_send_handler(void) +{ + Wire.write((uint8_t *) &dip_sw, sizeof(dip_sw)); +} + +void button_handler(void) +{ + new_data = true; +} -- 2.39.2